在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3243|回复: 2

[求助] QuartusII IP核做的ROM

[复制链接]
发表于 2014-8-22 15:36:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位神人,我用QuartusII IP核做了一个1_PORT的ROM,每个存储单元12位,深度为16384(2的14次方),地址范围为0—16383,其中前面一半存储单元存的是负数,后面一半是正数,但是我对这个ROM进行测试,地址明明是前面一半的地址,输出的却是正数,实在不知道哪里错了,求各位神人指点迷津。(我用的是Vhdl,测试文件的输入时钟变量clk是std_logic型,输入地址变量addr是std_logic_vector(13 downto 0)型,输出变量c_out是std_logic_vector(11 downto 0)型。)
 楼主| 发表于 2014-8-22 16:14:45 | 显示全部楼层
终于知道错在哪里了,要把用来对ROM存储单元初始化的.mif文件的数据类型改一下,不用十进制,改用二进制,再重新对ROM赋值就OK了,同样谢谢各位神人。
发表于 2017-3-1 17:02:10 | 显示全部楼层
问个问题 一般来说 rom是一个时钟一个输入一个输出 那IP核的2-port的rom是怎样做到一个时钟两输入两输出,而且还是基于同一个记忆体的前提,我自己试着写这种多输入多输出的,发现最好还是被综合成两个1输入1输出。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 19:45 , Processed in 0.021022 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表