在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3608|回复: 8

[求助] Design compiler问题求助

[复制链接]
发表于 2014-4-19 13:34:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 onearangel 于 2014-4-19 16:36 编辑

我启动DC后一直就是下面的状态。哪位大神能不能给我讲讲是怎么回事啊?
非常感谢!!!!
[xxxx@xxxxx ~]$ design_vision&
[1] 5995
[xxxx@xxxx~]$
                      Design Compiler Graphical
                            DC Ultra (TM)
                             DFTMAX (TM)
                         Power Compiler (TM)
                           DesignWare (R)
                           DC Expert (TM)
                         Design Vision (TM)
                          hdl Compiler (TM)
                         VHDL Compiler (TM)
                            DFT Compiler
                        Library Compiler (TM)
                         Design Compiler(R)

          Version G-2012.06-SP2 for RHEL32 -- Aug 31, 2012
               Copyright (c) 1988-2012 Synopsys, Inc.

This software and the associated documentation are confidential and
proprietary to Synopsys, Inc. Your use or disclosure of this software
is subject to the terms and conditions of a written license agreement
between you, or your company, and Synopsys, Inc.

Initializing...
发表于 2014-11-30 22:39:50 | 显示全部楼层
Please provide more details.
 楼主| 发表于 2014-12-1 08:12:23 | 显示全部楼层
回复 2# ra3d
多谢回复,这个问题已经解决了。
只需要在环境变量中加句:export DISPLAY=unix:0就可一了
发表于 2018-1-4 10:40:43 | 显示全部楼层
thank for sharing!
发表于 2018-2-20 16:12:55 | 显示全部楼层
Thanks...!!!!
发表于 2018-2-23 12:26:11 | 显示全部楼层
翻译一下楼上的:谢谢...!!!!
发表于 2018-2-27 18:12:25 | 显示全部楼层
Do u know the link for dc 2014?
发表于 2018-3-2 13:48:30 | 显示全部楼层
Thanks a lot!!!
发表于 2020-5-24 23:10:04 | 显示全部楼层
Thanks a lot !!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 03:53 , Processed in 0.031186 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表