在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: weizhiheng

[原创] altera 的fir ip核使用经验和问题

[复制链接]
发表于 2015-11-2 01:38:26 | 显示全部楼层
FIR比较简单,还是自己写吧
发表于 2015-11-4 08:41:05 | 显示全部楼层
回复 19# hsc0588


    我遇到同样问题,请问你解决了没有?求赐教
发表于 2015-11-25 17:14:23 | 显示全部楼层
回复 5# weizhiheng

你好,我最近在学FPGA,一直搞不懂FIR,请问能否将您写的FIR源代码给我参考一下?谢谢!
发表于 2016-5-4 09:28:34 | 显示全部楼层
回复 1# weizhiheng

您好,最近我在研究那个FPGA ALTERA FIR IP核的使用的,想请教下您当时自己编写的FIR代码效果如何呢?想参考下您的,目前项目需要在fpga内使用低通滤波来平滑输出效果,所以想学习下您的方案,若能帮忙,在这很是感谢!
发表于 2016-5-26 18:32:58 | 显示全部楼层
回复 10# weizhiheng


    你能写一个教程吗,我整了两三天,到现在也没有仿真出来,郁闷
发表于 2016-7-12 20:34:42 | 显示全部楼层
有没有库文件那?
发表于 2016-7-14 19:42:30 | 显示全部楼层
求高手的经验微博地址,或者联系方式微信也可。本人工作三年,一直做的是接口和数据处理,信号方面未曾涉猎
发表于 2016-7-14 19:44:15 | 显示全部楼层
求教同行经验,微博分享的地址,以及微信联系方式。本人工作三年,一直做高速接口和数据处理,信号还没有涉及
 楼主| 发表于 2018-11-18 07:26:41 | 显示全部楼层
现在的模块 好用多了
 楼主| 发表于 2021-7-26 22:12:39 | 显示全部楼层
多说一句,altera的fir滤波器,使用modelsim仿真不出来。
但是使用后仿真则可以出结果。也就是说综合后的仿真没有结果,但是布线后的modelsim可以输出结果。
奇异的结果。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 12:18 , Processed in 0.026896 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表