在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: litterstrong

[资料] SystemVerilog Assertions 应用指南【中文版】

[复制链接]
发表于 2014-10-22 11:46:17 | 显示全部楼层
SystemVerilog Assertions 应用指南,中文版,网上能找到的电子版貌似只有这个,前两章,基础知识,看完可以写断言。需要的可以下载。
发表于 2014-10-28 18:20:30 | 显示全部楼层
资料] SystemVerilog Assertions 应用指南【中文版】
发表于 2014-11-13 16:05:21 | 显示全部楼层
好久没用了 忘记了 下载看看
发表于 2014-11-13 16:16:59 | 显示全部楼层
初学UVM,mark一下
发表于 2014-11-14 08:47:23 | 显示全部楼层
谢谢楼主分享。
发表于 2014-11-16 19:42:25 | 显示全部楼层
多谢多谢,应该不错
发表于 2015-1-26 20:32:06 | 显示全部楼层
终于找到了啊
发表于 2015-1-26 20:33:48 | 显示全部楼层
有没有全本书的啊
发表于 2015-2-2 22:31:14 | 显示全部楼层
谢谢楼主分享
发表于 2015-2-3 11:21:58 | 显示全部楼层
谢谢!!!!!!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 16:25 , Processed in 0.026451 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表