在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: hedayyt

[求助] 用modelsim跑 UVM1.1的一个例子 出错,求解答

[复制链接]
发表于 2019-10-30 09:51:53 | 显示全部楼层


不伤人的地雷 发表于 2019-10-22 16:57
遇到了相同的问题,请问怎么解决呢


忘记当时具体是什么问题了,但是看log应该是哪个build_phase用的不合理,可以自查下代码
发表于 2019-11-26 09:49:59 | 显示全部楼层
2013年得帖子,估计lz变成老鸟了已经
发表于 2020-4-3 21:48:08 | 显示全部楼层
遇到了这个问题,请问怎么解决
发表于 2020-8-16 17:06:22 | 显示全部楼层
这个问题刚好今天遇到了,因为工作涉及到UVM,就在家里的虚拟机里搭UVM环境,代码这些拷的uvm实战里面的代码,然后makefile是使用的uvm-1.1d.tar里的Makefile.vcs,然后自己再改了下这个Makefile.vcs,所有准备工序做好后,跑仿真就报这个问题,造成的原因我这边大致是:$(SIMV)+UVM_TESTNAME=my_case0  这句话, 需要注意的是这里$(SIMV) 和+UVM_TESTNAME=my_case0之间有空格的,所以应该是$(SIMV)   +UVM_TESTNAME=my_case0,这样修改后,整个环境就跑起来了。最开始实在搞不定,就直接不加UVM_TESTNAME=my_case0,然后在tb文件中使用  run_test("my_case0");也是可以把UVM环境给跑起来

发表于 2020-8-17 11:41:42 | 显示全部楼层
13年的帖子,可能那会儿lz都不会debug吧……有错误先看log,定位error位置再检查代码,实在不行就去源码一步步推吧……当然直接问人最快了……
发表于 2021-6-14 23:55:20 | 显示全部楼层
检查一下自己的vlog arg和elab arg,是不是同时吃了两个UVM库,仿真工具自带UVM库,如果你自己又down了一个,而里在filelist里指定了自己的UVM库目录,工具又自己指定了自己的UVM库目录,也会导致UVM_FATAL [NOCOMP]
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-17 02:19 , Processed in 0.021085 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表