在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: xht84

[求助] 在后端设计中如何在worst corner下查hold

[复制链接]
 楼主| 发表于 2013-11-28 09:49:47 | 显示全部楼层
回复 20# tcwyfrex


   没错,我认为关键也是这个问题,我使用bc_wc模式。可是现在的要求比较特殊。如果target lib只设置max_lib一套库,不使min_lib相关联,理论上可以报出wc下的hold。可这样bc_wc就不管用了,也就是无法在bc下报hold timing,而这种模式也是我所需要的。
 楼主| 发表于 2013-11-28 09:57:41 | 显示全部楼层
绕了这么多,回复也不少,先谢谢大家。可是感觉有点跑偏。重新精简一下:

已有: max_lib和min_lib各一套
在ICC中,如何配置target_library 和operating_condition(或者使用其他方法),可以使工具同时报出如下时序:
使用max_lib报出setup timing和hold timing,使用min_lib报出hold_timing
发表于 2013-11-28 11:36:19 | 显示全部楼层
本帖最后由 tcwyfrex 于 2013-11-28 11:53 编辑

关键点已经尝试成功了,剩下的就是靠设置scenario来搭配了。
举个最简单的例子,PT需要读 cmax的spef和ss1.08v125c 的db 来做sta ,那么它检查setup和hold的环境都是同一套。同时,另一个PT窗口需要读cmin的spef和ff1.32cm40c的db来做sta,它也会用同一套环境检测setup 和hold。
假如设计signoff只需要上面这4种,那么只要在ICC里设置2个scenario分别对应即可,需要有多少个pt窗口跑,我们就设几个,每个scenario看到的都和单个PT看到的完全一致。

现在ICC的思路是:整个设计都不用bc_wc那种两套库的关联,把所有corner的db都写进link_lib,把所有corner的stand cell db写进target_lib ,删除set_min_library 语句。
先remove_sdc ,然后
create_scenario func_wc_cmax_125 ;set_tlu_plus 用cmax的;read_sdc ;Set_operating_conditions 只设max的值,应该是ss1.08v125c的库,min不填默认和max的环境相同,bc_wc模式。

create_scenario func_bcl_cmin_m40 ,set_tlu_plus 用cmin的 ;read_sdc ;Set_operating_conditions 只设max的值,应该是ff1.32cm40c的库,min不填默认和max的环境相同,bc_wc模式。

建立完后,report_scenario看报告,每个场景的库设置和PVT是否是你想要的那种和PT一致的形式。
具体没跑过流程,不确定是否可行。请楼主实验后告诉结论。
 楼主| 发表于 2013-11-29 11:24:50 | 显示全部楼层
回复 23# tcwyfrex


   多谢!经验证该方案可行。已经成功配置了MCMM,并且需要的都能报出来。看来是之前设置的set_min_library将multi-corer限制住了,现在已经没问题,能够实现多corner的自由配置了。
发表于 2013-11-29 16:24:45 | 显示全部楼层
回复 23# tcwyfrex


   学习了~~~~
发表于 2020-8-14 08:21:24 | 显示全部楼层
谢谢指导
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 20:04 , Processed in 0.022071 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表