在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: xht84

[求助] 在后端设计中如何在worst corner下查hold

[复制链接]
发表于 2013-11-24 19:47:43 | 显示全部楼层
在target_library和link_library 中要将max和min的库都设置上,然后再create scenario,在wc下看setup,在bc下看hold,在wc下看不到hold是因为不存在吧,不知道楼主的用意?
发表于 2013-11-25 08:55:02 | 显示全部楼层




   我知道,我认为是可以的啊。用 create_scenario,然后对各个scenario设好自己的operating condition。
psynopt刚开始时,是看不到MIN DELAY COST的,修完setup才会看到。
发表于 2013-11-25 08:57:03 | 显示全部楼层
回复 3# jinwei91


   此言差矣,对hold来说,很大程度上取决于skew,而对一个确定path,它的skew到底在worst还是best更大,不能简单确定。
发表于 2013-11-25 17:57:55 | 显示全部楼层
回复 7# xht84


    想要wc下的hold timing报告么?
report_timing -scenario [all_active_scenario] -......
就应该能看到结果了吧 或者想看哪个下的就[current_scenario]
发表于 2013-11-25 18:03:54 | 显示全部楼层
我觉得楼上正解
发表于 2013-11-27 10:47:03 | 显示全部楼层
回复 1# xht84


    worst corner下report_timing min和max都可以报出来的!
在ICC 在worst corner下不一定有hold,可能要在pt里面才可以看到!
不知道是不是你想要的
发表于 2013-11-27 14:12:11 | 显示全部楼层
回复 1# xht84


   我见这个问题很久了你都没得到满意的答案。不知道你注意report_qor的结果格式没?如果你要是只想知道wc下有没有hold violation。report_qor -scenario [all_scenarios]下它会把所有的corner下hold都给报出来。



         prompt> report_qor -significant_digits 4

         ****************************************
         Report : qor
         Design : top
         Version: E-2010.12
         Date   : Fri Aug  6 17:34:51 2010
         ****************************************

           Timing Path Group 'default'
           -----------------------------------
           Levels of Logic:            26.0000
           Critical Path Length:        9.9998
           Critical Path Slack:         0.0002
           Critical Path Clk Period:     Undef
           Total Negative Slack:        0.0000
           No. of Violating Paths:      0.0000
           Worst Hold Violation:        0.0000
           Total Hold Violation:        0.0000
           No. of Hold Violations:      0.0000
 楼主| 发表于 2013-11-27 16:56:55 | 显示全部楼层
回复 17# angangang3484


   谢谢版主。我认为report_qor下报出来的Worst Hold Violation指的是hold违例中的最差路径。和setup的WNS差不多,而不是worst corner下的hold违例,如有不同意见请指出,谢谢。   另外我设置的target lib和link lib只有MAX.db,但set_min_library MAX_lib.db -min_version MIN_lib.db 所以每次报timing时工具都自动使用MAX_lib报setup timing,使用MIN_lib报hold timing。但我现在除了这些之外还需要工具使用MAX_lib报一次hold timing,也就是之前提出的问题。尝试和很多办法都无法办到。
发表于 2013-11-27 19:52:12 | 显示全部楼层
回复 18# xht84


   你在worst corner下report_qor报出来的hold就是你想要的啊。
发表于 2013-11-27 21:34:33 | 显示全部楼层
我觉得问题的关键地方是: ICC大多用户是bc_wc模式来做的,而PT则只支持单个db库。

PT只需设一个target lib ,而且不支持bc_wc两套库的模式,所以看到的setup hold都是同一套db延时(我指的仅仅是普通模式,如果用DMSA方法就可以和ICC看到的bc_wc一样) 。 所以,只要想办法让ICC只读入一套库或许能达到目的。我没有亲自试过,不知道能否实现,我只是凭感觉提示一下,如果楼主找到方法,记得通知下。

我记得在设max-min关联时可以选择用同一套库的,用-none选项:
set_min_library max_library -none
这样,分析min delay时会选择和分析max delay相同的库。
(stand cell我真没试过这样设,不知道结果会如何。但是有些模拟IP或黑盒子IP只提供一个db时,我这样设置过没报什么错。)

还有Set_operating_conditions不知道这命令有没有帮助。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 07:00 , Processed in 0.030144 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表