在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4817|回复: 9

[求助] uvm_do_with随机化失败的问题请教

[复制链接]
发表于 2013-10-28 11:12:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 guolehaohao 于 2013-10-28 12:38 编辑

# UVM_WARNING E:/hello/test_bench/hello_pkg/hello_reg_ram_sequence.sv(12) @ 74214: uvm_test_top.env.input_agt.reg_ram_sqr@@hello_virtual_sequence.reg_ram_sequence [RNDFLD] Randomization failed in uvm_do_with

在测试中用到了virtual sequence,在virtual sequence中定义了一个sequence,在该sequence中用uvm_do()可以随机化成功,但是用uvm_do_with()随机化就会出现上述的警告,然后生成的是一个空transaction...
求高人指点...
发表于 2013-10-28 13:22:47 | 显示全部楼层
有约束冲突了。。或RNG不够强大。
 楼主| 发表于 2013-10-28 13:37:18 | 显示全部楼层
回复 2# A1985

谢谢...确实是有冲突了...刚才检查到....
发表于 2013-10-28 16:14:38 | 显示全部楼层
想知道么? 给我冲点话费帮你解答
 楼主| 发表于 2013-10-29 08:55:08 | 显示全部楼层
回复 4# liu_uestc


   给你冲多少话费...?
 楼主| 发表于 2013-10-29 11:50:00 | 显示全部楼层
回复 2# A1985


   谢谢...已经搞明白了...
发表于 2013-10-30 11:06:23 | 显示全部楼层
我的理解是这样:在virtual_sequence的task body中,定义你的sequence,用`uvm_do_on(seq,p_sequencer.sqra)进行随机化产生真正的sequence,并且在virtual_sequence的定义中,需要指明`uvm_declare_p_sequencer(virtual_sequencer)。
 楼主| 发表于 2013-10-30 13:03:56 | 显示全部楼层
回复 7# vincentatpku


   谢谢....那个已经指明白了..问题在于UVM不支持多层约束,当在transaction中约束一个值等于0,或者在post_rand中约束为0而在virtual_sequence中用uvm_do_with()约束其为1时就会出现这样的警告...
发表于 2015-8-28 14:06:32 | 显示全部楼层
学习了
发表于 2019-7-26 17:08:38 | 显示全部楼层


如果在之前约束时0,在使用UVM_DO_WITH时,还是约束为0,会不会出现随机化失败?我遇到过这个问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 18:46 , Processed in 0.027121 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表