在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: guolehaohao

[原创] windows 7 下modelsim 10.0d配置UVM_1.1d

[复制链接]
发表于 2015-1-28 09:54:30 | 显示全部楼层
谢谢分享
发表于 2015-5-28 21:33:57 | 显示全部楼层
期待已久
发表于 2015-7-7 22:19:36 | 显示全部楼层
救命稻草啊。
发表于 2015-7-10 17:06:59 | 显示全部楼层
我在g++.exe -DQUESTA -W -shared -Bsymbolic -I $MODEL_TECH/../include  $UVM_HOME/src/dpi/uvm_dpi.cc -o  $UVM_HOME/lib/uvm_dpi.dll $MODEL_TECH/mtipli.dll -lregex
这步时报错了, 如下
# child process exited abnormally

这可能是什么问题呢?
 楼主| 发表于 2015-7-12 12:53:25 | 显示全部楼层
回复 54# ayumiknight


   应该是你的代码有问题
发表于 2015-8-11 16:19:49 | 显示全部楼层
回复 26# luyaker


   这个方法只能解决编译的问题啊,仿真run的时候一样会有错误,该怎么解决,求教啊
   run -all
# ** Fatal: (vsim-160) D:/modeltech_10.1a/verilog_src/uvm-1.1d/src/dpi/uvm_svcmd_dpi.svh(27): Null foreign function pointer encountered when calling 'uvm_dpi_get_next_arg_c'
#    Time: 0 ns  Iteration: 0  Process: /uvm_pkg/#INITIAL#619 File: D:/modeltech_10.1a/verilog_src/uvm-1.1d/src/dpi/uvm_svcmd_dpi.svh
# Fatal error in Module uvm_pkg at D:/modeltech_10.1a/verilog_src/uvm-1.1d/src/dpi/uvm_svcmd_dpi.svh line 27
#
发表于 2015-8-11 21:15:58 | 显示全部楼层
[code]我用楼主的uvm_dpi直接拷到lib目录下,可以进行编译,但是执行最后一条命令的时候vlog +incdir+E:/install/modelsim10/verilog_src/uvm-1.1d/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF f:/uvm/test/hello.sv出现了这个错误。是不是楼主的编译库不对。我是win7 64位的。

Loading sv_std.std
# Loading work.uvm_pkg(fast)
# Loading work.hello_world_example(fast)
# ** Note: (vsim-8785) UVM-aware debugging capabilities will be disabled since no compiled "questa_uvm_pkg" can be found.
#
# This also means that later if you turn on UVM-aware debugging your debug simulations may have
#
# different random seeds from your non-debug simulations.
#
# Loading E:/install/modelsim10/verilog_src/uvm-1.1d/lib/uvm_dpi.dll
# ** Error: (vsim-3193) Load of "E:/install/modelsim10/verilog_src/uvm-1.1d/lib/uvm_dpi.dll" failed: Bad DLL format.
#
# ** Fatal: (vsim-3748) Failed to load DPI object file "E:/install/modelsim10/verilog_src/uvm-1.1d/lib/uvm_dpi.dll" while trying to resolve 'uvm_hdl_check_path'.
#    Time: 0 ns  Iteration: 0  Instance: /hello_world_example File: f:/uvm/test/hello_world.sv
# FATAL ERROR while loading design
发表于 2015-8-13 16:42:59 | 显示全部楼层
学习一下,谢谢分享!
 楼主| 发表于 2015-8-14 14:50:23 | 显示全部楼层
回复 57# benred


   你看看是不是你放置dll的路径不对...?
发表于 2015-8-19 16:08:13 | 显示全部楼层
很不错,谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 09:34 , Processed in 0.027006 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表