在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9849|回复: 5

[求助] Formality中rtl与dc后网表对比通不过

[复制链接]
发表于 2013-8-12 22:32:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我在FM中分别读入rtl代码和DC后的网表进行对比,出来以下提示信息:
Status: Verifying...
   Compare point encode_reg_20_ failed (is not equivalent)
   Compare point encode_reg_14_ failed (is not equivalent)
   Compare point encode_reg_9_ failed (is not equivalent)
   Compare point encode_reg_19_ failed (is not equivalent)
   Compare point encode_reg_18_ failed (is not equivalent)
   Compare point encode_reg_16_ failed (is not equivalent)
   ...
*******************Verification Results*****************
Verification FAILED
   ...
这些报错是设计的问题吗?是代码的问题还是综合脚本的问题?我该如何检查和改正我的设计?
发表于 2013-8-13 10:16:01 | 显示全部楼层
这个问题你解决了吗?我也有同样的问题
发表于 2013-8-27 14:11:59 | 显示全部楼层
同问,dc综合后,将原来rtl文件中常数的端口,remove掉了,导致fm在rtl和gate netlist比的时候,unmatch, 照道理dc在综合的时候,应该将这些信息记录在svf文件中,fm读入后就该明白这些优化的,结果读入了svf之后,也没用,正在找这个问题的答案,希望有同学能支持下。。。。。
 楼主| 发表于 2013-8-27 22:41:15 | 显示全部楼层
回复 3# zhqhzj


   你好,之前碰到的问题找到解决方法了,在FM脚本中需要添上“set_constant ”语句(具体用法可以man一下),注意rtl代码和dc出来的网表都需要指定该常数。如果DC给综合没了看看可不可以在综合时设置dont_touch
发表于 2013-8-29 13:12:56 | 显示全部楼层
想要验证success,先保证match阶段没有unmatch点。尽量使用svf文件和脚本。
svf文件中的内容其实可以根据综合报告用guide命令写出来的,如果做FPGA的验证没有自动生成svf文件的话,建议自己根据综合报告来写一个。这样对match和验证都有好处。
发表于 2013-9-5 10:23:05 | 显示全部楼层
回复 4# qing_icefire


   你好如果读入了svf不是可以把识别被优化的常数么
网表中指定常数,怎么指定
我也出了这样的错,但是我的寄存器貌似也不是常数寄存器
我脚本中没有设set_constant
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 11:24 , Processed in 0.024987 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表