在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 26323|回复: 29

[求助] 求助~~~~~后仿如何在testbench里加入sdf文件,也就是反标问题啦

[复制链接]
发表于 2013-5-21 09:12:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
RT,现在后端已把PR数据导出交付给了前端,我想问问,如何将PT产生的SDF文件反标设计进行后仿真呢?现在知道需要将SDF文件引入到testbench里,所以问题很简单如何在testbench里加入这个sdf文件,是有什么样的命令?
本人菜鸟,还望各位给予帮助,新手可以一同交流,老手可以互相探讨,高手请给予帮助~
谢谢
 楼主| 发表于 2013-5-21 13:26:03 | 显示全部楼层
顶起来
发表于 2013-5-21 14:49:18 | 显示全部楼层
$sdf_annotate,具体请查阅cadence ncvlog帮助文档。
 楼主| 发表于 2013-5-22 09:20:46 | 显示全部楼层
回复 3# rvnistelrooy


    这个我看了,就是在testbench里加入下面的语句嘛:
    initial begin
    $sdf_annotate("file.sdf",instance_name,ty_bc_wc)
    end
    然后问题是不知道这个instance_name应该填什么?
    最后是这个语句加入testbench以后,在启动仿真软件的时候还需要加什么选项吗?
发表于 2013-5-22 10:30:19 | 显示全部楼层
回复 4# Cadence—IC


   instance_name就是需要反标的模块的例化名称;   $sdf_annotate是verilog标准中规定的系统任务,直接调用,不需要在仿真中添加额外选项。
 楼主| 发表于 2013-5-23 09:52:05 | 显示全部楼层
回复 5# rvnistelrooy


    1、大致流程描述一下:PR完成数字layout以后提取出spef文件,将此SDEF文件导入PT,PT脚本里特别注意使用的是OCV模式,然后读spef文件用的语句是“read_parasitics -pin_cap_included -increment xxx.spef”(此语句是否有问题还望大家给予一个讨论结果);以此write_sdf xxx.sdf文件,在跑此脚本查看log文件可以看到很多warning是有关setup and hold values in the cell xxxx is negative,等等一连串的警告,这个问题应该如何解决?是否可以通过write_sdf这个指令去改变?(这个问题也希望有过流片经验的大神们给予交流和讨论)
2、在1流程里如果改变模式使用bc_wc模式,其他都一样(当然也是PR以后进行后仿),而此时跑write_sdf指令出sdf文件,此时是没有任何的warning的,问题:为什么用OCV模式和bc_wc模式有这么大的差别?对于这两种情况应该如何选择?
3、进行VCS后仿的时候,在testbench里加入用OCV模式产生的sdf文件即:$sdf_annotate("xxx.sdf",xxx,,,"TYPICAL",,),然后跑TYPICAL情况,很顺利后仿波形和数据都跟前仿一样。但是当我们跑“MAXIMUM”和“MINIMUM”这两种情况的时候,却产生了很多的SDF Error,错误的内容就是Negative RECOVERY (或者SETUP) value replaced by 0。Add +neg_tchk to consider Negative delay value.还有几个SDF Warning:Negative delay is ignored and replaced by 0.Please use -negdelay to support it.过后照着它的要求在vcs启动运行里添加了+neg_tchk和-negdelay这两个选项再跑,此时只有两个warning了,分别是SDF Warning:Negative limit Cannot be used in simple timing check,it's replaced by 0.Please use $recrem.还有一个为:SDF Warning:Negative IOPATH Delay A1 to ZN is replaced by 0.This negative value cannot be handled with switch -negdelay.Please check SDF files.对于两个警告不是太理解,也不知道怎么办了,所以就搁置在这儿了,还望大家看看,有没有办法去解决?
4、使用bc_wc模式也是同样的问题,跑TYPICAL可以顺利通过,但是MAX和MIN都不行,也是报的3问题里的错,这样的警告也导致了后面跑VCS的时候报出了很多Timing Violation的错;
发表于 2013-5-24 14:43:03 | 显示全部楼层
看看~~~支持一下
发表于 2013-5-25 10:19:15 | 显示全部楼层
发表于 2014-3-16 11:06:41 | 显示全部楼层
回复 6# Cadence—IC
你好!我们现在也遇到了同样的问题呀,请问该怎么解决,谢谢!!
发表于 2014-3-17 18:34:40 | 显示全部楼层
(Verilog: testbench.v)  Used :
$sdf_annotate("your_file_name.sdf");
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 13:47 , Processed in 0.030299 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表