在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1747|回复: 4

[原创] How to create the verilog Novas verification platform

[复制链接]
发表于 2013-5-8 10:46:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Create Makefile as below:

VCS=/tool/cbar/apps/vcs/2010.06-SP1-14/bin/vcs
SRC= test_lfsr.v lfsr.v
TGT= lfsr
VERDI_HOME=/tool/cbar/apps/verdi/2011.10
TAB_FILE=$(VERDI_HOME)/share/PLI/VCS/LINUX/novas.tab
PLI_FILE=$(VERDI_HOME)/share/PLI/VCS/LINUX/pli.a
VERICOM=$(VERDI_HOME)/bin/vericom
VERDI=$(VERDI_HOME)/bin/verdi
#LINUX64 or LINUXAMD64 cannot be used since pli.a is incompatible to i386 output format
FLAGS= -fsdb -Mupdate -P $(TAB_FILE) $(PLI_FILE) ## for dumpFSDB
$(TGT) : $(SRC)
        $(VCS) $(FLAGS) -o $@ $^
run:
        ./$(TGT)
seewave:
        $(VERICOM) -inc -work -top  $(SRC)
        $(VERDI) -top  -ssf ./wave/lsfr.fsdb &
clean:
        rm $(TGT); rm  csrc -rf; rm  *.daidir -rf;
 楼主| 发表于 2013-5-8 11:05:41 | 显示全部楼层
In the module top, add the dump waveform statement.
initial begin
        $fsdbDumpfile("./wave/lfsr.fsdb");
        $fsdbDumpvars(0, top);
end
发表于 2013-5-8 15:05:41 | 显示全部楼层
这个是很实在的例子 支持下
虽然我用csh一般不用makefile
发表于 2013-5-8 15:43:12 | 显示全部楼层
debussy是不是不支持systemverilog写的testbench啊?会报错
发表于 2014-1-7 12:41:05 | 显示全部楼层
wow good!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 01:54 , Processed in 0.025124 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表