在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 20918|回复: 66

[讨论] windows下questasim 10.1c 编译uvm

[复制链接]
发表于 2013-3-20 10:06:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在大神的帮助下,弄了半天总算把uvm编译成功
步骤如下:

1) 先去官网下载uvm最新的库,uvm1.1c. uvm-1.1c.tar.gz (2.65 MB, 下载次数: 536 )

2) 将库放入questasim目录下,记下uvm1.1c的路径, 例如:D:\questa_sim64_10.1c\uvm-1.1c\

3)window下创建新的环境变量,变量名为: UVM_HOME, 路径为第二步中uvm1.1c的路径

4)将所要编译的example文件 .sv 复制进questasim 默认directory中

5)下载附件中的脚本文件   compile_questa_sv.rar (200 Bytes, 下载次数: 538 )
     并将其复制入questasim 默认directory中,打开并修改需要 其中test.sv,改成需要编译的文件名,如hello_world.sv

6) 打开questasim10.1c 在command line中敲入:vlog -f compile_questa_sv.f
    进行编译。
发表于 2013-3-27 21:56:37 | 显示全部楼层
楼主,有QuestaSim10.1c 的crack可以分享吗?多谢。

tmsg2004@hotmail.com
发表于 2013-4-14 13:51:03 | 显示全部楼层
楼主 有QQ吗?
发表于 2013-4-18 21:33:29 | 显示全部楼层
多谢分享经验
发表于 2013-6-5 16:52:28 | 显示全部楼层
感谢楼主分享
发表于 2013-6-7 16:19:46 | 显示全部楼层
谢谢楼主分享啊
发表于 2013-6-11 15:04:11 | 显示全部楼层
确实省了不少事,多谢LZ
发表于 2013-7-30 14:41:11 | 显示全部楼层
谢谢楼主,这下简单多了
发表于 2013-8-1 20:41:44 | 显示全部楼层
这个不错,软件在哪下啊,谢谢
发表于 2013-8-6 15:54:41 | 显示全部楼层
回复 3# bennymao


  我给你发一份吧....
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 04:58 , Processed in 0.038837 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表