在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: zeushuang

[解决] 关于后仿真中SDF文件的hold time的负值问题(已解决供参考)

[复制链接]
 楼主| 发表于 2013-2-1 11:13:32 | 显示全部楼层
 楼主| 发表于 2013-2-28 15:19:16 | 显示全部楼层
现在自己回一个。看图!

holdtime_neg

holdtime_neg
发表于 2013-3-3 22:51:49 | 显示全部楼层
VCS工具手册里有专门讲解的。看手册更清晰一些。上面这个图就是手册里的
发表于 2013-4-21 19:11:18 | 显示全部楼层
回复 12# zeushuang


   你这图还是没有懂哎,可以解释一下吗
发表于 2013-4-26 18:32:36 | 显示全部楼层
如果是理想时钟,hold肯定是一个小正值,比如0.3,也就是前后级寄存器之间delay必须大于0.3.
现在时钟树建完后有skew,前后寄存器的时钟差有正,有可能为负。
现在打个比方,后一个寄存器的时钟比前一个早到0.3,那么即使两级之间没有delay,就是任何情况都可满足hold检查,即hold为0。
如果理解了这个,hold为负就不难理解了。后一个寄存器的时钟比前一个早到时间 大于0.3,比如早到0.4,那么hold就是-0.1
发表于 2013-4-26 18:40:26 | 显示全部楼层
回复 15# iyama

解释得很好。

谢谢。
发表于 2013-5-1 16:56:37 | 显示全部楼层
好资料,多谢分享!
发表于 2013-5-3 13:19:49 | 显示全部楼层
回复 9# plummer


   感谢分享!
发表于 2013-5-21 11:05:24 | 显示全部楼层
同问,同问。。。
发表于 2013-5-21 15:55:53 | 显示全部楼层
好像用sysnopsis的人多
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 17:09 , Processed in 0.030757 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表