在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: DZ小亮

[讨论] verdi-201210 能看UVM中验证模块的波形不?

[复制链接]
发表于 2013-5-30 20:17:03 | 显示全部楼层
好像只能Dump RTL的波形吧
发表于 2013-5-30 20:44:25 | 显示全部楼层
肯定不行的,UVM里面都没有时钟,都是数据包,怎么看?
发表于 2013-6-7 22:23:19 | 显示全部楼层
xuexi~~~~~~~~~~
发表于 2013-6-8 08:46:34 | 显示全部楼层
用dve可以显示验证环境内的变量在当前时刻的值,
发表于 2013-6-9 21:15:23 | 显示全部楼层
verdi不太清楚,但是ncverilog等工具现在逐渐支持sv可视化debug了
发表于 2013-6-24 10:44:28 | 显示全部楼层
应该不行,使用DVE可以看interface信号
发表于 2013-6-26 17:07:26 | 显示全部楼层
额。。。 好吧,呵呵
发表于 2013-7-3 16:35:35 | 显示全部楼层
应该看不到
发表于 2013-7-4 09:14:16 | 显示全部楼层
能,用fsdbdump就行
发表于 2013-7-4 09:16:41 | 显示全部楼层
用dve可以显示验证环境内的变量在当前时刻的值,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 13:33 , Processed in 0.025502 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表