在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6645|回复: 11

[求助] PTPX RTL Power分析如何增加其准确度

[复制链接]
发表于 2012-10-31 00:57:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
RTL Dump VCD + Netlist 的power分析,report average power 出来发现clock network上面的power非常大,整个power也比以前版本后仿的结果大很多。请问在这个flow里面应该如何确保或者增加结果的准确性啊?
另外在这个流程中,有部分net mapping不上, 一个是synthesis阶段 saif map 的时候报了一些类似数组信号mapping不上,还有在ptpx source DC dump出来的mapping 时有一些Error,不知道是否必须需要做到100%的mapping ?
 楼主| 发表于 2012-10-31 16:55:26 | 显示全部楼层
顶一下
 楼主| 发表于 2012-10-31 18:47:01 | 显示全部楼层
另外有什么办法去debug  read_vcd 后的覆盖率低的问题吗?
发表于 2013-4-3 18:03:14 | 显示全部楼层
如果找到不能map的點,需要利用set_rtl_to_gate_name把rtl和gate map上,這樣的話可以減少unannotated。
发表于 2015-12-2 11:23:22 | 显示全部楼层




    你好,我想问一下你synthesis时候用saif_map写出来的name_map文件里面有set_rtl_to_gate_name这个命令么?  我尝试了一下用saif_map这个命令,但是写出来的文件是空的,啥都没有!请问你是怎么用的这个命令
发表于 2015-12-2 11:24:13 | 显示全部楼层


RTL Dump VCD + Netlist 的power分析,report average power 出来发现clock network上面的power非常大,整个 ...
arthur_wang_orz 发表于 2012-10-31 00:57




    你好,我想问一下你synthesis时候用saif_map写出来的name_map文件里面有set_rtl_to_gate_name这个命令么?  我尝试了一下用saif_map这个命令,但是写出来的文件是空的,啥都没有!请问你是怎么用的这个命令
发表于 2015-12-2 11:24:28 | 显示全部楼层
回复 1# arthur_wang_orz


    你好,我想问一下你synthesis时候用saif_map写出来的name_map文件里面有set_rtl_to_gate_name这个命令么?  我尝试了一下用saif_map这个命令,但是写出来的文件是空的,啥都没有!请问你是怎么用的这个命令
 楼主| 发表于 2015-12-2 14:59:19 | 显示全部楼层
在dc编译之前加上saif_map -start
输出的时候
saif_map -create_map -source_instance  xxxx.saif
saif_map -type ptpx -write_map saif_ptpx.tcl

去找找reference flow吧,好像是有的rtl power方面的
发表于 2015-12-8 22:38:54 | 显示全部楼层
回复 8# arthur_wang_orz

你好,我flow中也是这么做的:
在dc编译之前加上saif_map -start
输出的时候
saif_map -create_map -source_instance  xxxx.saif
saif_map -type ptpx -write_map saif_ptpx.tcl
但是写出来的文件是空的,啥都没有,DC中有什么特殊设置吗?谢谢
 楼主| 发表于 2015-12-11 09:48:02 | 显示全部楼层
你在log里面看到这条命令敲下去有什么warning报出来吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 19:22 , Processed in 0.037742 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表