在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3265|回复: 4

[求助] modelsim10.0d + uvm-1.1b源码仿真工程搭建问题求指教

[复制链接]
发表于 2012-8-31 09:54:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
问个问题哈,我使用的是10.d版本的。编译顺序是
2.c:/altera/12.0/modelsim_ase/gcc-4.2.1-mingw32vc9/bin/g++.exe -g -DQUESTA -W -shared -Bsymbolic -Ic:/altera/12.0/modelsim_ase/include  $UVM_HOME/src/dpi/uvm_dpi.cc -o  $UVM_HOME/lib/uvm_dpi.dll c:/altera/12.0/modelsim_ase/win32aloem/mtipli.dll -lregex
3.vlib work
4.vlog +incdir+$UVM_HOME/src $UVM_HOME/src/uvm_pkg.sv
5.vlog +incdir+$UVM_HOME/src hello_world.sv
6.vsim -c -sv_lib $UVM_HOME/lib/uvm_dpi hello_world。
但是在跑的时候遇到了,
7.# hdl call sequence:
# Stopped at producer.sv 60 Task hello_world/producer::run_phase
# called from  d:/copy/uvm-1.1b/src/base/uvm_common_phases.svh 245 Task uvm_pkg/uvm_run_phase::exec_task
# called from  d:/copy/uvm-1.1b/src/base/uvm_task_phase.svh 150 Function uvm_pkg/uvm_task_phase::execute。
另外在win32aloem少了两个文件:dpghelp.dll imagehlp.dll,网上下了两个。
我看那个地方是:oid'(p.randomize());
你们遇到这个问题没有
发表于 2014-6-19 21:45:28 | 显示全部楼层
楼主好给力!!
发表于 2014-6-23 18:45:54 | 显示全部楼层
感謝分享
发表于 2015-9-17 16:51:04 | 显示全部楼层
感谢分享
发表于 2015-9-21 14:18:14 | 显示全部楼层
谢谢分享
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 03:25 , Processed in 0.022966 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表