在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 飞扬紫百合

VCS2011编译外部UVM库的问题

[复制链接]
发表于 2013-11-10 14:35:57 | 显示全部楼层
学习了!
发表于 2013-11-10 14:38:11 | 显示全部楼层
学习了……
发表于 2013-11-15 20:37:53 | 显示全部楼层
sdjajlsdgfkljfuw
发表于 2014-3-17 14:45:01 | 显示全部楼层
回复 2# 飞扬紫百合


#!/bin/tcsh -f#setenv NOVAS_HOME /eda/synopsys/verdi2011
#setenv VERDI_HOME /eda/synopsys/verdi2011
setenv UVM_HOME /eda/synopsys/vcs2011_03/etc/uvm-1.0
setenv PLI_DIR /eda/synopsys/verdi2011/share/PLI/vcsd/LINUX64


vcs -P   ${PLI_DIR}/vcsd.tab \
      ${PLI_DIR}/pli.a \
      -sverilog +incdir+${UVM_HOME} \
      ${UVM_HOME}/uvm_pkg.sv \
      ${UVM_HOME}/dpi/uvm_dpi.cc \
      -CFLAGS -DVCS \
      -ntb_opts uvm-1.0 -RI -debug_all -fsdb_old\
       uvm_tb_top2.sv dut.v


./simv +UVM_VERBOSITY=$(UVM_VERBOSITY) -l vcs.log
这个是我的脚本,我也遇到了这个错误,但是单用VCS -sverilog -ntb_opts uvm-1.0\file.v test.sv编译就能通过而且能正确产生simv


您看看是什么问题。
发表于 2014-10-23 15:21:09 | 显示全部楼层
我现在也遇到同样的问题了,先试试看
发表于 2014-10-23 15:56:46 | 显示全部楼层
现在遇到语法错误 ,config_db  后面的  #  有问题 。vcs版本是2011.3的
发表于 2014-10-31 11:37:59 | 显示全部楼层
我也遇到这问题了。  
刚开始用vcs编译uvm1.1没有问题,在questa下也没有问题。
现在项目上要加vhdl,就必须分开编译,vlogan这块没有问题,就是在vcs的时候报错了。我在vcs后面添加了 uvm1.0编译通过了,  这样做应该有问题吧?


楼主说的那个选项还是不行。。。
 楼主| 发表于 2014-10-31 22:16:18 | 显示全部楼层
回复 27# allencherry

gcc的版本不匹配,试试安装一个匹配的gcc试试
发表于 2015-6-9 10:08:12 | 显示全部楼层
谢谢喽住发帖~
发表于 2015-9-9 11:38:44 | 显示全部楼层
删除所有log重新run,我的是这样就ok了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 11:37 , Processed in 0.044818 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表