在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 16425|回复: 16

[求助] systemverilog problem in vcs

[复制链接]
发表于 2012-6-21 23:41:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
1.Error-[XMRE] cross-module reference resolution error.
Error found while trying to resolve cross-module reference token 'object'.Originating package '$unit'

---in this problem,i have included the file about the 'object',but the error still jump out.

2.Systemverilog keyword 'interface' is not expected to be used in this context.

---i had checked the interface definition .sv, i found no problem in the file.
发表于 2012-7-4 15:24:28 | 显示全部楼层
1. Maybe it doesn't need 'object'.  I guess
发表于 2012-7-12 11:30:25 | 显示全部楼层
遇到了和第二个类似的问题,但是不值得怎么解决
发表于 2012-7-12 22:18:33 | 显示全部楼层
1. 应该是比较弱智的问题,仔细看看,好好想想。光看报告也看不出什么来。
2. 是不是没有加参数 -sverilog
发表于 2012-7-15 08:00:01 | 显示全部楼层
第一个问题应该比较简单的
第二个,就比较奇怪了,你确定是在进行sv的编译么?
发表于 2012-7-15 10:37:43 | 显示全部楼层
什么版本? 较早版本支持不好。换到2011后应该好点
发表于 2012-7-25 19:24:22 | 显示全部楼层
怎么没人回答第一个问题,不管弱不弱智,得有人回答啊。
发表于 2012-8-22 16:54:53 | 显示全部楼层
疑问描述


While elaborating with VCS, the following error displays:

"Top Level Modules:
       top

Error-[XMRE] Cross-module reference resolution error
/proj/gsd/ids/13.1/ISE_DS/ISE/verilog/src/unisims/MMCM_ADV.v, 223
  Error found while trying to resolve cross-module reference.
  token 'glbl'.  Originating module 'MMCM_ADV'.
  Source info: assign GSR = glbl.GSR;"

解决方案


One reason for this issue is that the dangling top "glbl" is not provided on the VCS command line with the other top-level modules. If so, you can resolve the issue by using the command as follows:

vcs -top top -top vcs <other elab options>
发表于 2013-1-11 12:38:53 | 显示全部楼层
1 交叉引用路径问题;一种可能是路径引用的错误;另一种可能是那个object是define的一个宏,在使用的时候没有加‘,应该是‘object。
2 定义interface的definition .sv应该没有问题,而是实例化该interface的construct有问题,比如有可能你是在program--endprogram里面实例化该interface等。
发表于 2013-1-11 23:46:41 | 显示全部楼层
在环境中是不是需要virtual
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 02:16 , Processed in 0.035850 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表