在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4656|回复: 4

[讨论] ISE13.2调用Synplify9.6.2

[复制链接]
发表于 2012-6-11 14:30:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Started : "Synthesize - Synplify Pro".
Creating Synplify project files...
Creating "traffic_light.prj" file...
Creating "traffic_light_compile.tcl" file...
Creating "traffic_light_map.tcl" file...
Info: Synplify process failed. Please check the Synplify log file, stdout.log for details.
Process "Synthesize - Synplify Pro" failed
发表于 2012-7-16 20:44:54 | 显示全部楼层
然后呢??我要看结果。。。
发表于 2012-12-11 15:52:32 | 显示全部楼层
License for feature synplifypro is not avaible.

但是单独运行license是可以用的
发表于 2012-12-12 16:59:31 | 显示全部楼层
9.6.2不支持V6以上版本FPGA
发表于 2016-6-28 16:40:31 | 显示全部楼层
苍天啊,大地啊。这个问题就没有人管吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 07:25 , Processed in 0.030383 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表