在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: fcc124

[请教]Verilog RTL级与行为级描述有何区别?

[复制链接]
发表于 2009-11-27 10:14:28 | 显示全部楼层
长见识啊
发表于 2009-11-27 11:37:51 | 显示全部楼层
我认为,RTL是晶体管传输级,描述硬件的相互联接关系,一般都可以综合;
行为则是一些算法、赋值之类的,如a=b+c这种描述,不一定能综合
发表于 2009-11-30 14:12:29 | 显示全部楼层
顶一下,写得不错,
发表于 2009-11-30 16:09:43 | 显示全部楼层
1# fcc124

可以从字面意思理解一些:
行为级:功能性的,例如一个加法器,一个比较器,都完成某一功能,是个行为级的咚咚,强调的是功能;不用考虑是否可综合(一些不可综合的语句,可以写行为级的咚咚,如for循环等)

RTL级:RTL也就是门电路级的,最终要综合布线,所以要考虑面积啊,功耗啥的。所以RTL级的所有语句必须能综合
发表于 2009-12-21 17:41:08 | 显示全部楼层
一个抽象,一个具体!
发表于 2009-12-21 18:37:49 | 显示全部楼层
菜鸟学习了
发表于 2009-12-22 20:27:14 | 显示全部楼层
受教了!感谢!
发表于 2009-12-22 22:37:04 | 显示全部楼层
学习了!
发表于 2009-12-24 04:28:23 | 显示全部楼层
Behavioral model is faster to create, but required more resource and might be slower in hardware, while RTL can be slower to create (becasue you need to hand craft it), howerver it can achieve faster hardware speed.
发表于 2009-12-26 16:00:39 | 显示全部楼层
行为级不考虑电路的实现,不考虑综合
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 07:08 , Processed in 0.030020 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表