在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: haier822

[求助] 尚未解决--PT产生的SDF文件中,hold time 没有按照lib中负值计算,不解,寻求帮助

[复制链接]
发表于 2012-3-2 13:19:25 | 显示全部楼层
回复 5# jun_dahai


    您好,您所说的我有点不明白,限制值是什么意识?还有您是如何确认“SETUP和HOLD这两个时序描述符不支持负值,如果限制值是负值的话仿真工具会直接当成0处理”?仿真工具会直接当成0处理?
发表于 2012-3-5 09:33:23 | 显示全部楼层
回复 11# haier822


    第一个问题,能写出来不代表就是支持,关于$setup、$hold和$setuphold(或者$recovery、$removal和$recrem)是如何描述时序关系的,请参阅相应语法,这个就是常规规定,不是我要说它支持或者不支持的

    第二个问题,我习惯的用法是astro工具导出spef文件,使用star-rc工具提取sdf
发表于 2012-3-5 09:40:44 | 显示全部楼层
回复 12# liuyangqwin2


    比如说,library中规定DFFX1单元时钟的建立时间为2ns,那么该单元setup time limit value is 2ns

    关于当成0处理,可以查看仿真的log file,应该是工具的默认处理方式
发表于 2012-3-6 20:14:52 | 显示全部楼层




    五楼正解,学习了
发表于 2012-4-7 22:04:18 | 显示全部楼层
5楼很强大,正解!
发表于 2012-4-7 23:44:31 | 显示全部楼层
回复 1# haier822


我也遇到了楼主同样的问题。

1. 我用write_sdf -version 3.0 -include SETUPHOLD -context Verilog -no_edge 吐出sdf后,看到SETUPHOLD里,hold的值确实是负值。
2. 反标到netlist后,用nc跑simulation,发现NC仍然是把某个hold的负值解释为0,某个cell仍然是violation的。

何解??
有高手能解答一下么?
发表于 2012-4-8 01:36:25 | 显示全部楼层
我想问一下,SDF反标0的话,对path上的timing有影响吗?
发表于 2012-4-8 04:58:57 | 显示全部楼层
回复 5# jun_dahai


    你好,请教个问题。

个人理解,即使把hold的负值反标上去了,nc也只是在检查相应的时序时会用到这个值,
而不会在信号路径的延时里加上这一信息。

这样就导致仿真有可能的失败。

不知道是不是这样?
发表于 2012-4-8 05:09:45 | 显示全部楼层
回复 21# uestc_apache


    我也是这个问题。貌似nc只是在检查时序用到这个值,我仿真nc没有报hold violation,但是功能就是不对。
    然后trace到一个cell,在波形上看hold是不满足的。查pt的时候发现,该cell 的 path延时就没有把hold的
    负值计算在内。

     后来我就直接把库里面相应的cell中,$setuphold 给改了,加上相应的信息。

      心里有点忐忑,不知道这么做合不合适啊。
发表于 2012-4-9 10:49:02 | 显示全部楼层
回复 21# uestc_apache


    试试VCS
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 07:06 , Processed in 0.027610 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表