在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: inet2012

[求助] 急求verilog编译错误” declaring global objects is a SystemVerilog feature“

[复制链接]
发表于 2012-1-12 10:36:38 | 显示全部楼层
我也遇到了那两个错误,第一个错误没有解决呢,第二个错误已经解决了,你看一下是不是你的工程名和你的顶层文件名不一致?Quartus里面要求工程文件名和顶层文件名要一样的
发表于 2012-1-12 10:41:03 | 显示全部楼层
实在不行,就把parameter变量全部注释掉,直接赋值
发表于 2012-5-13 09:04:44 | 显示全部楼层
楼主,第一个问题解决了吗?我现在也碰到了这个问题
发表于 2012-5-13 09:07:32 | 显示全部楼层
楼主,第一个问题解决了没有啊?现在我也遇到了这个问题
发表于 2012-5-13 09:12:00 | 显示全部楼层
楼主第一个问题能解决吗?求助
发表于 2012-5-14 14:02:29 | 显示全部楼层
parameter必须定义在module内部
发表于 2012-5-14 16:09:19 | 显示全部楼层
我感觉应该都改成define 语句,'define OP_SPECIAL      6'b011000,parmeter语句不是系统函数,不能那样单独存在吧。。
发表于 2012-5-15 17:49:46 | 显示全部楼层
parmeter应是全局参数,同名的只能定义一次,要么你可以使用localparmeter, 作用范围就只在本模块内了。
如果你同名参数在整个工程内都是同一个数字,可以把这些参数都写在一个.vh文件里,然后`include (name).vh就可以了,只用调用一次, 不过要注意下编译顺序,如果你定义的文件在列表里较后位置,可能编译前面时就会报错。
发表于 2014-7-17 19:03:57 | 显示全部楼层
平常不回复,今天特例,顶一下
发表于 2015-3-25 15:09:28 | 显示全部楼层
我也遇到了一样的问题,解决方法是添加`include时,需要把 `include放在module里面,放在外面就会报错。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 11:31 , Processed in 0.039380 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表