在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5701|回复: 12

[转贴] 后端面试--每日一题(072)

[复制链接]
发表于 2011-11-30 12:22:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
问题由szp9912收集提供,特此感谢!

If the routing congestion exists between two macros, then what will you do?

如果2个macro之间有走线拥堵的话,该如何解决?

难度:2
发表于 2011-11-30 17:26:43 | 显示全部楼层
怎么每次只有问题,没有答案
发表于 2011-11-30 17:36:26 | 显示全部楼层
离开远点

打place_bockage

其他??
发表于 2011-11-30 19:32:00 | 显示全部楼层
对,拉开macro之间的距离, 避免太窄的区域,

再检查下 route blocakge的设置
发表于 2011-11-30 21:42:06 | 显示全部楼层
1)增大macro之间的间距
2)在macro之间加non-buffer placement blockage 或 加partial blockage控制channel里面的cell density
 楼主| 发表于 2011-12-1 09:10:47 | 显示全部楼层
 楼主| 发表于 2011-12-1 12:36:12 | 显示全部楼层
给#5补充一点,
调查那些congestion是如何造成的,改变floorplan,引导工具不要从macro中间走线
发表于 2011-12-17 21:48:47 | 显示全部楼层
继续关注每日一题
发表于 2011-12-19 16:57:35 | 显示全部楼层
第一,是看两个macros之间的距离是否太小,可不可以增加space。
第二,可以观察两个macros的pins的特点,有时候调整pins的位置,就可以到达预想的效果。
第三,真不行,就调整FP吧。
发表于 2014-3-6 14:57:39 | 显示全部楼层
想问一下,我只有一个Macro(放在左上)。Place的时候,Macro右下拐角的地方拥堵(Display congestion map显示的),可是我在Macro上加了hard blockage,Macro外8um内都没放STD,怎么会堵的? 是我设置不对吗? (拥堵的地方,Macro有8个连STD的pin)
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 19:16 , Processed in 0.026898 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表