在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4063|回复: 7

[求助] 请教一下用modelsim10.0C仿真UVM写的环境时出错

[复制链接]
发表于 2011-11-24 03:57:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
modelsim10.0C仿真UVM写的环境时出错 提示UVM里面好多宏都没有定义,在仿真时应该添加什么选项啊。谢谢!
发表于 2012-9-5 14:08:43 | 显示全部楼层
thank wrtg
eqyheqt
5u4
发表于 2012-9-6 10:04:59 | 显示全部楼层
我 是在window 下,10.a版本。
1.set UVM_HOME d:/copy/uvm-1.1b
2.copy的 modelsim 的 uvm_dpi.dll{c:/altera/12.0/modelsim_ase/gcc-4.2.1-mingw32vc9/bin/g++.exe -g -DQUESTA -W -shared -Bsymbolic -Ic:/altera/12.0/modelsim_ase/include  $UVM_HOME/src/dpi/uvm_dpi.cc -o  $UVM_HOME/lib/uvm_dpi.dll c:/altera/12.0/modelsim_ase/win32aloem/mtipli.dll -lregex}
3.vlib work
4.vlog +incdir+$UVM_HOME/src $UVM_HOME/src/uvm_pkg.sv
5.vlog +incdir+$UVM_HOME/src hello_world.sv
6.vsim -c -sv_lib uvm_dpi hello_world (把lib/uvm_dpi.dll copy到当前路径)
7.OK
发表于 2012-9-7 16:03:20 | 显示全部楼层
xie xie fen xiang!
发表于 2012-9-13 10:21:40 | 显示全部楼层
学习学习!!!!!!!!!!
发表于 2012-12-5 11:12:49 | 显示全部楼层
回复 3# duanli112


    请问第一步和第二步是什么意思啊?请详细说明,谢谢!
发表于 2014-6-17 14:48:11 | 显示全部楼层
学习一下
发表于 2014-6-19 16:36:45 | 显示全部楼层
非常感谢,我破产了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 05:06 , Processed in 0.063945 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表