在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: tankapple

请教高人register file 和sram 的区别

[复制链接]
发表于 2011-12-23 11:35:18 | 显示全部楼层
学习了,赞一个
发表于 2011-12-23 12:56:00 | 显示全部楼层
支持一下
发表于 2011-12-28 16:14:29 | 显示全部楼层
register file的电路基础是FF堆;而sram的电路基础是两个交叉耦合的反向器。
发表于 2013-11-28 19:23:38 | 显示全部楼层




如果楼主说的Register file,是指memory compiler生成的macro,那么这个是正解。

还有一个“Register file”概念,是指CPU、DSP中的寄存器文件,这是一个逻辑上的概念,等同于软件视角的寄存器。
电路上,这类Register file要么用普通的Flip-Flop搭,要么用全定制的。为什么不能用前一个“Regiser file”? 因为这类
处理器中的寄存器文件,通常是多个读写端口的。而memory compiler生成的register file,一般不超过2个端口。
发表于 2014-2-11 10:38:50 | 显示全部楼层


我来回答一下LZ的问题
REGISTER FILE其实就是一堆寄存器
SRAM一般就是SRAM了,大家应该都知道,两者结构有 ...
muduo 发表于 2009-8-11 13:33


Register file也需要pre-coder和decoder的吧!
发表于 2014-11-3 06:01:07 | 显示全部楼层
RF是什么东东?请教达人!!
发表于 2016-4-6 15:13:14 | 显示全部楼层
回复 66# ra3d

Register File 缩写
发表于 2016-8-27 17:24:36 | 显示全部楼层
里面每个大牛都有每个人的观点,把整篇帖子看下来能收获很多理解的。很多也都解释得比较到位。立场不同,所描述的论点也不同的
发表于 2017-10-29 14:09:27 | 显示全部楼层
学习了,顶
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 15:12 , Processed in 0.024924 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表