在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2513|回复: 9

[资料] how to get started with systemverilog assertions

[复制链接]
发表于 2011-9-2 20:39:53 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Abstract
A key feature of Systemverilog is assertions, which unite simulation and formal verification semantics to drive a design-for-verification (DFV) methodology. Synopsys introduced beta support for SystemVerilog assertions in the VCS® hdl simulator in October 2003. This article provides an introduction to SystemVerilog assertions and shows how you can easily start using them with VCS.

va_vol4_iss1_systverilog.pdf

119.26 KB, 下载次数: 65 , 下载积分: 资产 -2 信元, 下载支出 2 信元

 楼主| 发表于 2011-9-4 08:21:10 | 显示全部楼层
只见下的没见顶的
发表于 2012-10-12 12:34:31 | 显示全部楼层
good passage
发表于 2013-9-26 15:56:09 | 显示全部楼层
fjhaskfhsfjhsdf fkjfbskjfbkgbskbgf
发表于 2016-12-27 13:08:00 | 显示全部楼层
thajfkjalkjafjla
发表于 2016-12-27 17:22:30 | 显示全部楼层
thnx!
发表于 2016-12-29 21:44:07 | 显示全部楼层
多谢分享
发表于 2017-1-3 13:04:46 | 显示全部楼层
頂一下!感謝大大無私分享
发表于 2017-1-4 16:43:31 | 显示全部楼层
谢谢分享
发表于 2019-12-26 10:09:51 | 显示全部楼层
see see
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 07:14 , Processed in 0.050254 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表