在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2875|回复: 1

[讨论] 关于断言的使用

[复制链接]
发表于 2011-8-3 16:31:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟在学习使用断言,在网上下载了一个设计,想在modelsim下做仿真,却遇到了以下的编译错误:
Error: syn_assert.v(10): Cannot open `include file "d:/modeltech_10.0/ovm-2.1.1/../verilog_src/ovm-2.1.1/src/assert_fifo_index.vlib".

Error: syn_assert.v(115): (vlog-2163) Macro `OVL_ERROR is undefined.

这些错误是什么引起的呢?
我到d:/modeltech_10.0/ovm-2.1.1/../verilog_src/ovm-2.1.1/src/查看了,没有assert_fifo_index.vlib这个文件
发表于 2011-8-3 17:04:19 | 显示全部楼层
恕我愚昧,请教楼主,啥叫断言?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 20:51 , Processed in 0.029236 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表