在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4170|回复: 5

[求助] 使用modelsim VHDL语言产生fsdb文件出错

[复制链接]
发表于 2011-7-11 17:46:42 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
library novas ;
use novas.pkg.all ;
ENTITY Top IS
END TOP ;  、
。。。。。。。
PROCESS
   begin
     fsdbDumpfile ("wave.fsdb") ;        
     fsdbDumpvars( 0 , TOP ) ;
         WAIT;
   END PROCESS ;
# ** Error: ../rtl/Top.vhd(163): Entity "top" cannot be actual parameter.
# ** Error: ../rtl/Top.vhd(163): Type error resolving procedure call "fsdbdumpvars".
   
在modelsim上边用vhdl跑仿真的时候,遇到这个错误,请问你知道是怎么回事吗?急!!!
 楼主| 发表于 2011-7-11 22:35:28 | 显示全部楼层
搞明白怎么回事了,原来verilog的 fsdbDumvars跟vhdl的fsdbDumpvard有一点不同,就是双引号。
搞了我一下午郁闷死了。

还没用过vhdl dumpfile的童鞋们注意了,要 fsdbDumpvars( 0 , “top”);

不要像我一样马大哈啦!!!!
发表于 2011-7-12 00:27:06 | 显示全部楼层
re
fsdb还可以设置dump成多个独立的文件
发表于 2011-10-21 20:53:41 | 显示全部楼层
回复 1# dlb05061131


     如果top是vhdl代码怎么写这句话
fsdbDumpvars( 0 , TOP ) ;
发表于 2011-10-21 20:57:20 | 显示全部楼层
回复 1# dlb05061131


    如果top代码是verilog写的,而top下面子模块是vhdl代码,怎么写打出fsdb波形的脚步?
 楼主| 发表于 2011-10-22 13:15:57 | 显示全部楼层
$fsdbDumpfile (wave.fsdb) ;        
    $ fsdbDumpvars( 0 , TOP ) ;
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 10:34 , Processed in 0.033042 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表