在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3109|回复: 3

[求助] VERILOG代码到VHDL代码

[复制链接]
发表于 2011-6-20 17:03:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有一句代码是用verilog写的,但是,到vhdl里边就不知道怎么办了。

因为要把一些VERILOG文件转为vhdl文件。使用xhdl转换的话直接
verilog: Wdata_R = Wdata + k<<8 ;
转换之后:

Wdata_R := ShiftLeft(Wdata + to_stdlogicvector(k, 24), 8);  

不知有没有办法可以转换过来??
 楼主| 发表于 2011-6-20 17:27:23 | 显示全部楼层
Wdata_R := Wdata + CONV_STD_LOGIC_VECTOR(k, 24) SLL 8;  
同样的xhdl软件转换的,就是不一样,看心情。
哎。
发表于 2011-6-21 11:03:37 | 显示全部楼层
SIGNAL k_std : std_logic_vector(23 downto 0);
SIGNAL k_sll : std_logic_vector(23 downto 0);

k_std <= CONV_STD_LOGIC_VECTOR(k, 24);
k_sll <= k_std(15 downto 0) & X"00";
Wdata_R := Wdata + k_sll;

这样?感觉有点小复杂。
PS:ise不支持SLL等移位语法,quartus不清楚
发表于 2011-6-21 22:21:23 | 显示全部楼层
quartus市支持移位计算的,<<这种计算可以简单的在低位添0,就可以了,没有必要用<<
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 22:43 , Processed in 0.021864 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表