在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13450|回复: 4

[求助] design compile 的 compile_ultra 指令

[复制链接]
发表于 2011-6-9 10:02:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位高手
     我在板上下載了2010.03的版本,然後用EFA LicGen 0.4b產生license,

  用的synopsys.src應該也是版上新的(大小約88k),所產生的sysnopsys.dat
  用scl_v10.9.3中的lmgrd來啟動,大部分都運行正常,但是用到dw_minpower.sldb
  時,就會報錯說這個sldb只用於compile_ultra中,但是如果我用compile_ultra
  來產生我的adc_syn.v,又會報錯如下
  Alib files are up-to-date.
  Error: This site is not licensed for 'DesignWare-LP'. (SEC-51)
  Please contact  at root@(none), who is
  your local Synopsys license administrator for Synopsys site 000.
  Error: The DesignWare-LP license is not available. (UISN-56)
  0
  不知道是我在.tcl檔案中寫的不對,還是sysnopsys.dat沒有產生好
  或是有其他問題呢,請眾位高手幫幫忙

  還有另外一個問題,dc底下的dw資料夾有一些library,但是我發現還有其他資料夾命名為dw0,dw1,dw2...

  請問這些資料夾都需要設定在search path當中嗎,這些dw0,dw1,dw2...的資料夾是什麼用處呢?
发表于 2011-6-9 10:08:32 | 显示全部楼层
已经明确提示你DesignWare-LP这个feature没有了。
发表于 2014-7-12 21:49:42 | 显示全部楼层
学习了,谢谢!
发表于 2016-6-18 09:02:03 | 显示全部楼层
顶楼主赚积分
发表于 2017-5-2 12:13:55 | 显示全部楼层
学习了,谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 05:59 , Processed in 0.021676 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表