在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: fengzhepianzhou

[原创] 时钟毛刺

[复制链接]
发表于 2013-10-8 20:47:59 | 显示全部楼层
4楼说的有道理~
发表于 2013-10-11 06:24:03 | 显示全部楼层
时钟源头要控制好。CELL后面应该不会产生毛刺的。
发表于 2014-7-2 21:33:58 | 显示全部楼层
MMCM产生的时钟毛刺,不知道怎么消除
发表于 2014-7-4 09:06:39 | 显示全部楼层
回复 1# fengzhepianzhou


   看看看看看看看看看看看看
发表于 2014-7-21 16:54:17 | 显示全部楼层
多用寄存器电路就没那么多问题了
发表于 2014-8-6 16:05:27 | 显示全部楼层
use gating clock
发表于 2014-8-8 14:11:06 | 显示全部楼层
谢谢分享 谢谢分享
发表于 2014-8-8 14:18:29 | 显示全部楼层
谢谢分享 谢谢分享
发表于 2014-8-29 10:32:58 | 显示全部楼层
0宽度的毛刺需要注意设计上的问题,需要从根本上解决问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 23:01 , Processed in 0.031482 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表