在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5568|回复: 6

[求助] 请教高手时钟树综合的问题

[复制链接]
发表于 2011-3-18 16:11:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 linglingfa 于 2011-3-18 16:13 编辑

在做CTS 时出现waring:
CTS-Warning: ignore net <clk480> since its driving pin is ignored
CTS-Warning: ignore net <clk60> since its driving pin is ignored
时钟树综合失败

在查看clk480和clk60的时钟树结构时出现
waring: i0: PAD is an implicit ignore pin since it is an non-clock pin
waring: i1: PAD is an implicit ignore pin since it is an non-clock pin
i0就是clk480连接的PAD
i1是clk60连接的PAD

那个大牛知道是为什么吗??
发表于 2011-3-19 00:20:55 | 显示全部楼层
这两个时钟直接trace到IO上了,工具认为IO cell不是一个合理的时钟树节点(leaf cell),所以不做CTS处理。
如果非要让工具长时钟树,可以把IO的输入强制定义为leaf pin,这样工具就会像成立D触发器一样处理这个点了,问题是时钟到IO这一段有没有latency的要求呢,这是你做时钟树之前要仔细确认好的。
 楼主| 发表于 2011-3-19 14:18:40 | 显示全部楼层
回复 3# hawkz

谢谢了,用什么命令能强制定义I/O PAD的属性呢?时钟到I/O PAD 这一段的latency在SDC文件里设置了
 楼主| 发表于 2011-3-19 14:22:41 | 显示全部楼层
回复 2# zhq415758192

时钟定义应该对着
creat_clock [get_ports clk480] -name clk480 -period 2 -waveform {0,1}
creat_clock [get_ports clk60] -name clk6480 -period 16 -waveform {0,8}
发表于 2011-11-13 17:34:56 | 显示全部楼层
学习下
发表于 2011-11-13 20:48:33 | 显示全部楼层
不会是output pad吧,

clock定义在input pad上的很多啊,都是顶层的port,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 12:28 , Processed in 0.025014 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表