在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: zhangtaozt

[原创] 在FPGA中对一个浮点数进行下取整有什么好的方法?

[复制链接]
发表于 2011-3-10 11:15:42 | 显示全部楼层
对于指数部分小于127和大于149的情况再另外一个if里描述。。。。。
 楼主| 发表于 2011-3-10 11:23:02 | 显示全部楼层
回复 11# jackertja


    用case语句是不是要比用if -elsif-...-else语句节省很多的资源?
发表于 2011-3-10 13:39:30 | 显示全部楼层
资源未必,级数会少。
 楼主| 发表于 2011-3-10 14:34:23 | 显示全部楼层
回复 13# jackertja


   嗯,级数应该对应反应时间吧。谢谢了。
发表于 2011-8-20 10:35:45 | 显示全部楼层
很有用啊 感谢楼上!
发表于 2011-8-25 16:15:01 | 显示全部楼层
很好啊
发表于 2011-8-25 16:17:26 | 显示全部楼层
很有用
发表于 2012-9-28 16:52:19 | 显示全部楼层
学习力
发表于 2013-3-24 23:37:32 | 显示全部楼层
1.1579208923731619542357098500869e+77
发表于 2013-3-26 21:07:13 | 显示全部楼层
围观学习一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 10:34 , Processed in 0.027431 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表