在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3407|回复: 1

《VHDL数字系统设计与高层次综合》 源程序编译出错,请指教(有源码)

[复制链接]
发表于 2005-7-28 18:03:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
《Vhdl数字系统设计与高层次综合》
源程序编译出错,报错如下:
Error: Line 13: File \\sreg8parlwclr.vhd: Unsupported feature error: expression is not a recognized clock edge  
Error: Unknown problem in \\sreg8parlwclr.vhd (%DLS-F-NullArg, Null owner node argument to FindAttribute
我是一个新手,百思不得其解。请大家帮忙,谢谢
源码如下:
library ieee;
use ieee.std_logic_1164.all;
entity sreg8parlwclr is
   port(clr,sl,fe,clk,se,a,b,c,d,e,f,g,h:in std_logic;--din:in std_logic_vector(7 downto 0);
        qut std_logic);
end sreg8parlwclr;

architecture behav of sreg8parlwclr is
   signal tmpreg8:std_logic_vector(7 downto 0);
begin
   process(clk,sl,fe,clr)
   begin
     if (clr='0') then
        tmpreg8<="00000000";
        q<=tmpreg8(7);
     elsif (clk'event)and(clk='1')and(fe='0')then--elsif (clk'event)and(clk='1')and(fe='0')then
        if(sl='0')then
        tmpreg8(0)<=a;
        tmpreg8(1)<=b;
        tmpreg8(2)<=c;
        tmpreg8(3)<=d;
        tmpreg8(4)<=e;      
        tmpreg8(5)<=f;
        tmpreg8(6)<=g;
        tmpreg8(7)<=h;
        q<=tmpreg8(7);
         elsif(sl='1') then
        for i in tmpreg8'high downto tmpreg8'low+1 loop
             tmpreg8(i)<=tmpreg8(i-1);
               --  tmpreg8(0)<='0';
        end loop;
            tmpreg8(tmpreg8'low)<=se;
            q<=tmpreg8(7);
       end if;  
     end if;
   end process;
end behav;
 楼主| 发表于 2005-7-28 20:22:05 | 显示全部楼层

《VHDL数字系统设计与高层次综合》 源程序编译出错,请指教(有源码)

其实这个程序没有错。在其他工具上我编译通过了。至于这是我的软件(Max plus )的问题还是设置上的问题就不得而知了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 13:56 , Processed in 0.033686 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表