在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 42050|回复: 140

[资料] 32位除法器设计Verilog代码

[复制链接]
发表于 2010-12-22 11:55:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
32位除法器设计Verilog代码.zip (724 Bytes, 下载次数: 1330 )
 楼主| 发表于 2010-12-22 12:01:48 | 显示全部楼层
verilog源码,验证过,可以直接用的
发表于 2010-12-22 15:19:36 | 显示全部楼层
ddddddd,下了,谢谢
发表于 2010-12-22 15:42:38 | 显示全部楼层
多谢分享!
发表于 2010-12-22 18:57:29 | 显示全部楼层
谢谢啊
发表于 2010-12-22 21:10:33 | 显示全部楼层
多谢分享!
发表于 2010-12-30 13:42:46 | 显示全部楼层
回复 1# liulangshusheng
发表于 2010-12-30 20:20:33 | 显示全部楼层
见识了!
发表于 2011-1-14 00:43:24 | 显示全部楼层
多謝大大分享
发表于 2011-1-14 03:13:07 | 显示全部楼层
DDDDDDDDDDDD.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 21:05 , Processed in 0.031488 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表