在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: erer313

[原创] 关于可测试性设计DFT

[复制链接]
发表于 2010-12-15 11:07:27 | 显示全部楼层
主要工具:
synopsys的主要有tetramax, DFT compiler, BSD compiler,等。。 缺一个MBIST的,有一个用DW生成的,但是很少有人用。
Mentor的比较多。mentor的DFT工具是老传统了。几样都有。
syntest的也不错,用的人不少。
cadence不太清楚,据说RTL Compiler中嵌入了
发表于 2010-12-31 10:40:57 | 显示全部楼层
2楼的回答就很好
发表于 2010-12-31 10:47:14 | 显示全部楼层
先从最基本的实验做起吧,我其实也是新手,新的不行了
发表于 2011-1-21 16:57:59 | 显示全部楼层
恩,dc插chain,tetramax生成patten,vcs仿真之类的
发表于 2011-1-21 17:40:28 | 显示全部楼层
谢谢分享~~
发表于 2011-1-22 21:43:58 | 显示全部楼层
回答的很详细的啊
发表于 2011-2-8 22:03:49 | 显示全部楼层
mentor的比较常见,做完综合后,用mbistarchitecture生成mbist的逻辑,然后DFTadvisior生成扫描链,然后fastscan生成测试向量,下面转一篇介绍文章
SOC芯片中的DFT设计实施
1引言:
1. 1 DFT的基本概念
DFT(Design for Testability)是指在集成电路的设计过程中,通过增加逻辑、替换元件以及增加引脚等方法,解决芯片的快速、有效和自动测试问题。归纳起来,DFT实现了芯片的可测性(Testability)、可控性(Controllability)和可观测性(Observability)问题。
随着集成电路工艺水平的提高和市场需求的发展,芯片的规模也越来越大,芯片的可测性设计问题越来越突出。一方面,规模化的生产需要有效地剔除不合格芯片;另一方面,基于减少测试成本和测试周期的考虑,也推动了DFT技术的发展。近几年来讨论DFT方法和DFT工具的文章也越来越多,这说明国内更多的IC设计公司面临着规模化、产业化生产的问题。
在这样的背景下,选择一套合适的DFT软件工具,对于优化芯片的可测性设计、缩短设计开发周期以及降低规模化量产的测试成本是非常重要的。本文将通过我们的实践,向大家介绍和推荐Mentor公司的DFT软件工具。
1.2 SOC芯片的DFT策略
SOC(System on Chip)是在同一块芯片中集成了CPU、各种存储器、总线系统、专用模块以及多种I/O接口的系统级超大规模集成电路。由于SOC芯片的规模比较大、内部模块的类型以及来源多样,因此SOC芯片的DFT面临着诸多问题。
1. 存储器的测试问题
几乎每一款SOC芯片内部都集成了SRAM、FLASH、EEPROM等多种存储器,这些存储器往往由IP供应商提供。如何方便、全面、快速地测试存储器的每一个单元,是一个复杂的问题。其中包括了测试算法的确定、测试控制单元的生成、芯片级的测试控制以及并行测试等几方面问题。
2. Glue Logic的可测性设计问题
通常将SOC芯片内部除了CPU、存储器、PLL等IP之外的逻辑统称为Glue Logic。简单地说,这部分的DFT就是如何插入扫描链的问题。组成Glue Logic的模块可能是自主设计的、也可能含有IP供应商提供的IP或者wrapper。它们的设计风格多种多样,复杂程度和规模也各有不同,这就给扫描链的插入以及提高测试覆盖率增加了难度。
3. 硬IP中已有DFT与整个芯片的兼容问题
IP供应商提供的硬IP往往已经考虑了DFT的问题。在SOC总集成设计时,如何有效地利用已有的DFT,并与Glue Logic中的扫描链、存储器的BIST LOGIC兼容,是一个需要慎重考虑的问题。
4. 如何快速地自动生成测试向量及其仿真调试
大规模集成电路的DFT,包括扫描链插入、测试向量自动生成(ATPG)、以及测试向量的仿真,都是相当耗费时间的。同时,SOC芯片的设计周期往往由于市场的急迫需求被压缩的很短,留给DFT的时间就更紧张,如何快速地实现DFT、如何有效地仿真和调试、如何准确地定位存在的问题并作出修改,也是需要特别关注的问题。
1.3 Mentor DFT工具
Mentor 公司为DFT提供了业内领先的、成熟的、同时也是最完备的DFT解决方案。它很好地协调并解决了前述四个问题。运用这套工具,依靠Mentor公司强大的技术支持,我们在较短的时间内,实现了一款百万门级SOC芯片的DFT设计。
2 SOC芯片DFT设计过程综述
2.1 存储器部分DFT的生成和仿真
在我们设计的SOC芯片中,有一个SRAM模块,这块SRAM的接口时序和通用的SRAM类似。这部分DFT的内容,是为SRAM构建一个BIST测试机制。我们采用Mentor公司的MBISTArchitect产品自动生成了BIST控制器。仿真和芯片测试的结果表明,在芯片规模增加大约2000门的情况下,只需要100000个时钟周期就可以实现这块SRAM的测试,每个时钟周期约为40ns。并且由于加入存储器BIST而增加的管脚也很少。
下图为BIST控制器的原理框图
图一 BIST Controller 原理框图
2.2. Glue Logic的插链、调试和仿真
在我们的SOC芯片内部,有将近20个模块共同组成了Glue Logic部分。为了降低功耗,有些模块内部有门控时钟(Gated Clock),还有些模块含有两个以上的时钟域,其中总线部分还包括一些异步逻辑,这就使得Glue Logic部分的扫描链比较复杂。在设计中我们采取以下步骤:
1. 相关网表和DFT库的准备;
2. Glue Logic的DFT预估,估计出触发器的个数,Gated Clock的模块以及Reset、CLK的情况;
3. TOP层管脚的复用设置和CLK、Reset信号及Bypass控制;
4. 采用Mentor的DFTAdvisor进行扫描链设计。这里需要考虑扫描链的长度、扫描链的个数、是否需要CLK merging(Multiple Clocks 和Multiple Clock Edges的情形)以及扫描链的均衡;
5. 为ATPG输出网表和Test procedure file。利用Mentor公司的DFT工具,能够很好地解决诸如多时钟域,异步逻辑,门控时钟等问题,从而可以达到很高的故障覆盖率。
2.3. ATPG和BIST Controller的仿真调试
MBISTArchitect为BIST controller生成了仿真激励文件,由于BIST controller 是基于BIST model而生成的,所以BIST model是否符合SRAM的接口时序,决定了BIST controller的仿真测试是否能够通过。
利用DFTAdvisor生成的test procedure文件,采用FastScan为扫描链生成测试向量。由测试向量的仿真结果,定位仿真不正确的触发器,以便及时地修改设计。值得一提的是,Mentor公司的FastScan工具在生成高覆盖率、高效率的测试向量方面,在行业享有极高的声望。
3 Mentor公司DFT软件的特点
3.1 完备的DFT解决方案,提高了流程的效率
Mentor 公司提供行业最完备的DFT解决方案。对基于扫描的逻辑电路DFT设计,可以采用DFTAdvisor扫描链插入和FastScan自动测试向量生成技术;对非扫描结构的DFT设计可以采用FlexTest自动测试向量生成技术;对于嵌入式存储器的测试,Mentor公司提供存储器内嵌自测试技术MBISTArchitect以及独特的MacroTest测试技术;对边界扫描电路的设计,Mentor公司提供的BSDArchitect技术完全支持IEEE1149.1标准;同时还提供广泛的DFT技术如LBIST技术、测试向量的动态压缩技术以及不同测试机制的自动连接。
从流程的角度来看,IC设计最繁琐也最费时的是软件的熟悉过程,这个过程往往比真正的设计时间还要长很多。由于Mentor的DFT工具涵盖了DFT的方方面面,同时工具之间的兼容性好,这样使得工程师可以顺畅的从一个流程进行到下一个流程。比如:在工程师采用DFTAdivisor插链之后,工具会自动生成test procedure文件以及ATPG的约束文件,对这些文件稍做修改或转换,就可以用FastScan来读取。这样就节省了很多写脚本和调试的时间。同时Mentor公司的DFT技术可以非常方便地实现与其它EDA公司仿真和综合技术之间的顺畅连接。
3.2 友好的用户界面,以及丰富的DEBUG功能
Mentor 公司的DFT工具提供了一系列的DEBUG功能。以DFTAdvisor和FastScan为例,从命令行的角度,工具提供了超过100条的仿真测试设计规则检验,通过这些设计规则检验,各种不良的DFT设计习惯就会一目了然。可以发现设计中存在的不利于提高测试覆盖率的缺陷,从而可以及早修改设计,以提高测试覆盖率。
值得一提的是,Mentor还开发了用于调试DFT的图形界面工具DFTInsight,DFTInsigh和DFTAdvisor以及FastScan有着快捷的接口,用户可以直接由设计规则检验的结果定位到Cell的逻辑关系,这样就非常直观。
图二 DFTInsight用户界面
3.3 高效率的ATPG
Mentor 公司提供的FastScan,是一款优秀的测试向量自动生成工具,它可以针对扫描的ASIC设计,生成高质量的测试向量。它有如下几方面的特点:
1. 支持几乎所有的故障模型:stuck-at、transition、IDDQ等等;
2. 提供高效的静态以及动态测试向量的压缩功能,可以有效地减少测试向量的数量;
3. 内嵌的Diagnostics选项,可以通过ATE上失败的测试向量,来定位芯片上的故障;
4. 运行速度很快。
发表于 2011-2-15 17:09:52 | 显示全部楼层
個人比較愛用SYNOPSYS的產品
DFT Compiler 跟design compiler結合,再同一個script中就可以下指令讓RTL Code置換scan cell並且輸出gate-level netlist
接著跑APR,然後可以用TetraMAX來產生stil.wgl.verilog等格式的pattern
這些pattern對晶片測試非常有幫助
发表于 2011-2-15 18:13:17 | 显示全部楼层
大家共同学习~~~~~~··
发表于 2011-5-12 10:11:26 | 显示全部楼层
多谢指点~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 10:55 , Processed in 0.038423 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表