在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 20783|回复: 67

[资料] lattice公司的i2c核rd1006(包含testbench测试模块)

[复制链接]
发表于 2010-8-14 02:07:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
这个比论坛上的ip要完整也好用的多,这么好的资源居然没人发。

RD1006--I2CIP.rar

200.71 KB, 下载次数: 816 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2010-8-14 06:34:11 | 显示全部楼层
谢谢分享
发表于 2010-8-14 09:21:29 | 显示全部楼层
I2C Master还是Slave?
发表于 2010-8-14 11:58:35 | 显示全部楼层
kankan
 楼主| 发表于 2010-8-14 12:47:35 | 显示全部楼层
slave 里面有详细的说明文档 3# leonqin
发表于 2010-8-14 16:36:21 | 显示全部楼层
谢谢啊
发表于 2010-8-18 10:49:40 | 显示全部楼层
下来看看先,谢谢!
发表于 2010-8-26 09:54:05 | 显示全部楼层
fasdfasfdas
发表于 2010-9-7 23:21:54 | 显示全部楼层
thanks
发表于 2010-9-12 01:57:25 | 显示全部楼层
谢谢楼主的资料啊!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 07:54 , Processed in 0.047823 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表