在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7013|回复: 15

[求助] DC脚本

[复制链接]
发表于 2010-4-17 23:24:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
你好!!!请问一下谁有自底向上的综合脚本, 能不能借来参考一下???
发表于 2010-4-30 21:37:09 | 显示全部楼层
自己在论坛里搜下,很多的
发表于 2010-5-1 22:30:08 | 显示全部楼层
友情帮顶^^^^^^^^^^^^^^^
发表于 2010-6-12 17:04:34 | 显示全部楼层
有吗?
发表于 2010-6-12 17:18:29 | 显示全部楼层
有~~~~
发表于 2010-6-13 09:52:48 | 显示全部楼层
这方面的资料很多的,论坛可找找
发表于 2010-6-13 22:21:52 | 显示全部楼层
自顶向下的见过,自底向上的没有?请问有什么不同么?
发表于 2010-6-14 22:03:41 | 显示全部楼层
帮顶~~
发表于 2010-7-14 19:15:21 | 显示全部楼层
自底向上的策略一般用于中、大规模的设计
其主要步骤如下:
    ①准备一个全局约束文件和各子模块的约束文件;
    ②单独综合各个子模块;
    ③读取顶层设计和未存在于内存中的已综合子模块;
   ④设置顶层设计为当前设计,链接,施加顶层约束。如果此时设计已满足约束,则综合完成,若不满足,还要继续下面的步骤;
  ⑤对实例化单元应用characterize命令;
  ⑥使用write_script命令生成这些单元的新约束文件;
  ⑦使用remove_design –all命令从内存中清除所有设计,然后读入前面characterize后的模块;
⑧设定characterize的子模块为current_design,并使用步骤⑥中产生的约束文件重新综合;
⑨读入所有综合后的子设计,并链接当前子设计;
⑩选择另一个子模块,重复上面的③到⑧步,直到所有子模块全部综合完毕。
发表于 2010-7-19 11:36:02 | 显示全部楼层
学习了!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 00:36 , Processed in 0.029521 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表