在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 19934|回复: 36

[求助] 请教systemverilog中clocking问题

[复制链接]
发表于 2010-3-13 13:57:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问下面程序中时钟块里面 out2 = out3;是什么意思???是赋值还是同步???

program p1(output reg out3,input logic clk,input reg in );
    clocking cb @(posedge clk);
        output #3 out2 = out3; //CB output signal
        input  #0 out1 = in;

    endclocking
   
    initial
        #200 $finish;
    initial begin
        $display($time,,,cb.out1);
        cb.out2 <= 0;      //driving output at "0" time
        @(cb.out1);        //sampling input for change
        $display($time,,,cb.out1);
        #100;
        $display($time,,,cb.out1);
        cb.out2 <= 1;     //driving o/p at posedge of clk
        @(cb.out1);
        $display($time,,,cb.out1);
    end
endprogram
发表于 2010-3-13 14:45:25 | 显示全部楼层
感觉有点像HDL语言里面的同步。
发表于 2010-3-13 17:48:09 | 显示全部楼层
本帖最后由 lyu 于 2010-3-16 12:41 编辑

aaaaaaaaaaaaaa
 楼主| 发表于 2010-3-13 18:13:58 | 显示全部楼层


实在懒得看你的帖子在说什么。

说一下clocking block的基本用途。
clocking block比较有用的地方是在防止同步信号的竞争冲突方面。
这个你需要参考一下整个systemverilog的event regions。
这样就可以知道inte ...
lyu 发表于 2010-3-13 17:48




说实话  你讲了一堆的废话!!!一点用也没有。
发表于 2010-3-15 11:55:06 | 显示全部楼层
学习学习
发表于 2010-3-15 16:35:03 | 显示全部楼层
本帖最后由 lyu 于 2010-3-15 16:36 编辑

那你慢慢想你的问题了。有兴趣把你的简历发给我。
来我们公司吧。我们慢慢讨论。
email:leiyu_sc@hotmail.com
 楼主| 发表于 2010-3-17 12:53:46 | 显示全部楼层
如果你觉得简单  不屑于指教  那就算了

我承认我在SV方面是新手 是菜鸟  

我在这里发帖就是想请你们这样的高手指导一下

我感觉很多时候学一门新语言  有的语法点的理解就是一层窗户纸的程度  但捅开这层窗户纸却很费劲啊
发表于 2010-3-17 15:35:58 | 显示全部楼层
我也是菜鸟呵。
相当菜的那种。
发表于 2010-3-17 19:33:12 | 显示全部楼层
就是赋值吧。晚3个时间单位吧。感觉平时很少这么用。
发表于 2010-3-17 19:52:07 | 显示全部楼层
大家都很淡定啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 01:12 , Processed in 0.031132 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表