在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: hitten

[讨论] PT-PX功耗分析报错

[复制链接]
发表于 2010-11-25 19:16:38 | 显示全部楼层
是这样的,仿真的时候会在设计的顶层上自动会添一些top层,这样在做PT-PX分析的verilog顶层与VCD向量的层次不一致,也就是说要定义的顶层要是同一个名字。
发表于 2010-11-26 15:03:49 | 显示全部楼层
本帖最后由 xftianyu 于 2010-11-26 15:05 编辑

其实产生VCD的方法很多
如仿真工具支持的函数MODELSIM:vcd add vcd file 等等(此处详看MODELSIM帮助文档的关于VCD文件产生的一章)
也有本身verilog 语言支持的函数形式$dumofile $dumpname等等(此处可以详细看VERILOG语言的说明文档)
当前你需要的是不包含TB的层次信息
所以就应该在你的设计顶层文件中增加以下几行
initial
begin
  $dunpfile (top.vcd)
  $dumpfile (0, "top")//0表示此层以下所有信号均采集 top为你的模块顶层名称

end
然后跑MODELSIM的仿真产生VCD文件
在做PT的时候将上面的语句删除就好
大概是这样 可以打字有错误
发表于 2010-12-13 21:54:18 | 显示全部楼层
strip_path(tb.design), 具体记不清了
发表于 2011-12-23 15:11:40 | 显示全部楼层
有师兄就是好哇。
发表于 2012-4-27 16:29:14 | 显示全部楼层
加时序反标。
发表于 2013-4-22 15:11:37 | 显示全部楼层
一般是read_vcd出现错误吧
read_vcd *.vcd -strip_path design_tb/design_inst
读入*.vcd文件时,后面要写成:tb文件名/设计在tb中的例化名, 这种形式。
发表于 2013-9-26 19:32:43 | 显示全部楼层
在$DIR_PT_INSTALL/doc/pt/tutpx/中有example,加VCD和SDC文件的方式里面都有说明~
发表于 2013-9-27 10:30:06 | 显示全部楼层
我也觉得是read vcd的那句命令没有写对。
发表于 2014-4-21 22:22:28 | 显示全部楼层
我也遇到这个问题,原因是read_vcd指令没写对。
必须加上-strip_path  “testbench/instance”这个参数。
发表于 2014-8-9 16:11:00 | 显示全部楼层
我也遇到相同的问题,希望大家分享一下经验。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 22:37 , Processed in 0.028095 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表