在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13303|回复: 6

[其它] 关于SV中mailbox的问题

[复制链接]
发表于 2010-1-9 22:45:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
下面程序在Questa6.4中运行时,如果没有加#(Transaction),就会报下面的错误:
Cannot assign an unpacked type to a packed type.

请大牛解释一下为什么呢???

谢谢了。

class Transaction;
    ..................
endclass


class Generator;
    mailbox #(Transaction) gen2drv;          //    Transaction tr;
   
    function new(input mailbox #(Transaction) gen2drv);
        this.gen2drv = gen2drv;              //this->类一级变量
    endfunction
   
    task run;
        forever begin
            tr = new();                 //创建事务
            assert(tr.randomize);       //随机化
            gen2drv.put(tr);            //送入驱动器
        end
    endtask
endclass
发表于 2010-1-9 23:11:01 | 显示全部楼层
请问这是运行时的错吗?能够编译对吧
 楼主| 发表于 2010-1-10 15:39:39 | 显示全部楼层
vsim 时出错的
发表于 2010-1-11 12:14:05 | 显示全部楼层
有了transaction的定义,会使得该mailbox的使用在定义的时候就限制在某一类型的class,减少出错机会,a trade off
发表于 2010-1-13 16:38:58 | 显示全部楼层



那这里为什么会出错呢?
如果function new的输入接口中去掉input 这个关键字是不是会比较好?
如果有input 没有类型,是否会直接默认为logic类型?
试试把input去掉,把transaction去掉看看吧
发表于 2011-8-8 13:31:19 | 显示全部楼层
我改这个错 ,改了几天啦  
非常感谢
发表于 2011-8-17 01:20:48 | 显示全部楼层
questasim 对SV语法的支持中,针对untype的mailbox是不支持的!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 18:07 , Processed in 0.049100 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表