在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 37957|回复: 209

verilog文件读写操作指南,比较详细,有函数说明及例程

[复制链接]
发表于 2009-9-30 11:11:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
一篇非常详细的关于verilog文件读写的文章,包括各种文件读写函数的说明,还有例程,word格式,22页。
对于写有关文件操作的testbench比较实用,希望能帮助到大家。

下面给出文件简要说明,以免大家误下浪费信元

Introduction
This describes how you can read and write files in a Verilog model using a set of user functions, based on the C stdio package. With these functions you can perform file input and output directly in Verilog models without having to learn C or the PLI. This code works with VCS, MTI, Verilog-XL, and NC-Verilog (see $fread for one restriction).
Note that Synopsys' VCS 6.1, NC-Verilog 3.3, and MTI's ModelSim 5.5 offer native support for the IEEE-1364 2001 standard. Verilog-XL does not support these tasks except through this PLI application.

包括以下章节
  • Copyright
  • Overview
  • Differences between fileio and IEEE-1364 Verilog-2001 standard
  • File Input Functions
  • Restrictions and Caveats
  • Reading pattern files
  • Comparing outputs with expected results
  • Reading script files
  • Reading data files into memories
  • Linking with VCS
  • Linking with Verilog-XL
  • Linking with MTI
Linking with NC-Verilog

read and write files for verilog.doc

140 KB, 下载次数: 2021 , 下载积分: 资产 -2 信元, 下载支出 2 信元

read and write files for verilog

发表于 2009-10-1 10:38:03 | 显示全部楼层
下来看看
发表于 2009-10-1 18:00:34 | 显示全部楼层
看看
发表于 2009-10-3 15:21:17 | 显示全部楼层
谢谢楼主分享
发表于 2009-10-4 22:44:59 | 显示全部楼层
感謝樓主熱心分享
发表于 2009-10-7 08:36:01 | 显示全部楼层
感谢分享。。。。。。。。
发表于 2009-10-7 13:17:06 | 显示全部楼层
感谢分享。。。。。。。。
发表于 2009-10-12 14:05:40 | 显示全部楼层
thanks for sharing. it worth 5 coins.
发表于 2009-10-13 21:50:54 | 显示全部楼层
做test用
发表于 2009-10-13 22:33:08 | 显示全部楼层
楼主真不错,想得很周到。下资料前先看看是不是自己需要的,这个其实很实用,经常在工作中突然想处理个文件,但是又不知道用什么函数,资料也不多,只好先写个C程序,将文件转换为需要的格式再处理,挺麻烦的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 05:20 , Processed in 0.032455 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表