在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: wqy1985

怎么导出modelsim的波形数据呢

[复制链接]
发表于 2013-12-8 21:50:11 | 显示全部楼层




    学些了,很好,实践一下
发表于 2015-11-24 21:17:38 | 显示全部楼层
我也是这个问题 但是这个VCD文件怎么看 信号变化
发表于 2015-11-25 15:07:18 | 显示全部楼层
受益了-
发表于 2015-11-25 15:50:23 | 显示全部楼层
本帖最后由 justfigo 于 2015-11-25 15:54 编辑

回复 14# henble


   两种方法:1.在Verilog仿真的TB文件中写入一下代码:     $dumpfile("1.vcd");//名字随便取
    $dumpvars;
    $dumpon;

    #2000000//延时一段时间
    $dumpall;

    $dumpoff;
    $dumpflush;//将数据存入硬盘中,否则数据保留在缓存,等待仿真结束再写入磁盘#
这样仿真后就能生成*.vcd的文件,vcd是文本格式的文件,可以打开再进一步处理。

如果想要在modelsim下查看vcd的波形,可以这样:
先转换成wlf文件,再用modelsim的波形窗口打开(再modelsim的命令窗口输入以下命令)。
vcd2wlf 1.vcd 1.wlf
view wave
然后在波形窗口的菜单中打开1.wlf
add wave *

2.另一种方法是直接在仿真开始的时候,在modelsim的命令窗口输入生成vcd文件的命令来生成vcd文件。
例如:

vcd file("1.vcd")
vcd add *
run 1ms
这样就生成了一个1.vcd了,根在Verilog中的方法效果类似,更多命令可以上网查查。



发表于 2015-11-25 22:04:47 | 显示全部楼层
modelsim 本身是可以导出波形的 格式为 VCD格式。 当然也可以保存为二进制文件,这需要你用verlog或vhdl实现
发表于 2015-11-27 22:02:57 | 显示全部楼层
$fopen()
发表于 2017-12-2 10:59:35 | 显示全部楼层
GOOD GOOD
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 08:51 , Processed in 0.022324 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表