在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: wqy1985

怎么导出modelsim的波形数据呢

[复制链接]
发表于 2009-9-26 00:34:20 | 显示全部楼层
vcd文件就可以吧
发表于 2010-4-10 14:42:47 | 显示全部楼层
多谢了
发表于 2010-11-17 21:11:26 | 显示全部楼层
刚接触这方面,请教具体怎么导出仿真的数据,用于matlab。dumpfile如何使用。谢谢
发表于 2011-5-9 15:03:31 | 显示全部楼层
初学modelsim,请问能不能给出详细点的解释呢
发表于 2011-5-9 23:05:16 | 显示全部楼层
学习了~~。。
发表于 2011-5-10 08:39:55 | 显示全部楼层
在Modelsim的安装目录中,有一个文件夹WIN32(Linux是bin),有这样几个执行文件,wlf2vcd.exe和wlf2log.exe,都可以实现以上转换。
在命令窗口运行即可(注意需要进入文件所在目录或者在全局变量中添加路径)。
发表于 2011-5-10 08:41:32 | 显示全部楼层
求楼上给个例子?
发表于 2011-5-10 23:44:18 | 显示全部楼层
Matlab对Modelsim仿真生成数据的处理也是通过文件读写实现的。即通过Verilog语句,将仿真过程中的某个信号写入文件,然后在Matlab中在把这个文件的数据读出来,就可以在Matlab中进行分析了。
    下图也通过一个简单的例子,说明一下整个过程。
    以下的Verilog语句实现将信号data_out的数据写入data_out.txt文件
    integer w_file;
    initial w_file = $fopen("data_out.txt");
    always @(i)
    begin
        $fdisplay(w_file,"%h",data_out);
        if(i == 8'd255)    //共写入256个数据
            $stop;
    end

你百度一下就有。
发表于 2012-12-13 17:25:21 | 显示全部楼层
学习了
发表于 2012-12-14 21:18:56 | 显示全部楼层
能不能用matlab的simulink将modelsim的输出变成输入激励
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 11:40 , Processed in 0.027296 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表