在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 20925|回复: 26

怎么导出modelsim的波形数据呢

[复制链接]
发表于 2009-7-18 07:20:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家好
       我在用modelsim来仿真,不过仿真出来的是一个时序的波形文件,我需要把数据导出来带入matlab来进行分析。我想问问怎么才能把输出的数据结果导出到一个文件保存下来呢?谢谢大家了
发表于 2009-7-18 13:23:58 | 显示全部楼层
受益了
发表于 2009-7-18 13:24:41 | 显示全部楼层
学习了~~。。
 楼主| 发表于 2009-7-18 18:54:08 | 显示全部楼层
谢谢二楼,不过可否说详细点呢?
发表于 2009-7-19 21:26:34 | 显示全部楼层
谢谢谢谢
发表于 2009-7-19 21:33:43 | 显示全部楼层
呵呵,呵呵
发表于 2009-7-20 10:55:30 | 显示全部楼层
受益了 不过能不能说详细点呢
发表于 2009-7-31 08:33:29 | 显示全部楼层
dump into a vcs file...
发表于 2009-9-25 10:45:50 | 显示全部楼层
我也一直被同样的问题困扰,不过上面的回答我是不太清楚~~~~~~
发表于 2009-9-25 15:20:21 | 显示全部楼层
直接存成VCD文件,文本格式,你想干啥都行
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 08:35 , Processed in 0.040298 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表