在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 19019|回复: 88

[资料] Cadence NC-Verilog Simulator Help v8.2, Add v9.2

[复制链接]
发表于 2009-6-28 14:25:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 bestwonbin 于 2010-1-21 21:12 编辑

Cadence® NC-verilog® Simulator Help
Product Version 8.2
November 2008

有时随便翻翻挺好的,nc自己带的spec

20100121:加一个9.2版的

Cadence NC-Verilog Simulator Help v8.2_Nov 2008.rar

3.75 MB, 下载次数: 594 , 下载积分: 资产 -2 信元, 下载支出 2 信元

这个是8.2的,下面那个是9.2的,下一个就好了

nc_ug(9.2).rar

3.67 MB, 下载次数: 501 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2009-7-3 11:21:12 | 显示全部楼层

学习一下,谢谢!

学习一下,谢谢!
发表于 2009-7-5 16:30:49 | 显示全部楼层
好文章啊
发表于 2009-8-14 09:21:41 | 显示全部楼层
thanks
发表于 2009-8-31 09:49:50 | 显示全部楼层


   I am going to find ius8.2
发表于 2009-8-31 10:00:04 | 显示全部楼层


Thanks a lot!!!
发表于 2009-9-4 16:35:44 | 显示全部楼层
非常感谢
发表于 2009-9-4 17:50:08 | 显示全部楼层
不错不错!好东西!
发表于 2009-9-4 18:48:56 | 显示全部楼层
谢谢楼主分享
发表于 2009-9-30 13:30:11 | 显示全部楼层
谢谢分享---
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 19:53 , Processed in 0.034015 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表