在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 15080|回复: 16

关于VCS仿真看波形的问题

[复制链接]
发表于 2009-6-27 14:28:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我是VCS初学者,用VCS仿真总是看不到波形,请问一下 在写testbench的时候是不是要加上 $vcdpluson;
然后用VCS -RPP 编译,用VIRSIM看波形的时候,打开.vpd文件,然后将模块拖到波形窗口。
但是波形显示的信号都是灰色的,怎样才能显示信号的波形呢????
发表于 2009-6-28 00:17:08 | 显示全部楼层
yong debussy haole
发表于 2009-9-18 10:57:15 | 显示全部楼层
我比楼主还菜,怎么启动SVA都不知道。话说,怎么启动呢?
发表于 2009-9-18 14:08:02 | 显示全部楼层
$vcdplusfile("*.vpd");
$vcdpluson();
在tb中写个intial 加上上面两句。
其中$vcdpluson();的括号可以制定dump的模块和级数,等楼主dump出来再研究这些吧。
发表于 2009-9-18 15:16:13 | 显示全部楼层
看看!!!!
发表于 2010-2-25 17:23:13 | 显示全部楼层
在initial中加$vcdplson(mode name);就ok啦。
发表于 2010-2-28 23:17:25 | 显示全部楼层
VCS做仿真还可以,但是如果有条件还是推荐verdi看波形吧,因为你看波形目的就是trace,要trace的话,还是verdi比较方便的~
发表于 2010-3-1 11:21:23 | 显示全部楼层
发个TOP.V来看看
发表于 2010-3-16 22:35:10 | 显示全部楼层
用$vcdpluson()命令
发表于 2010-3-16 22:53:14 | 显示全部楼层
2009版本以后只能通过DVE查看了,
你可以看看相关的命令,很简单的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 19:10 , Processed in 0.028300 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表