在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 14895|回复: 63

CPLDFPGA常用模块与综合系统设计实例精讲程序

[复制链接]
发表于 2009-4-25 09:47:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
para5:
myand1.vhd   二输入与门
myand.vhd    多输入与门
x_or.vhd     异或门(RTL级)
x_or1.vhd    异或门(BHV级)
x_or2.vhd    异或门(门级)


para6:
coder8_3.vhd    8线/3线编码器
coder8_3_1.vhd  8线/3线编码器
sn74ls148.vhd   8线/3线优先编码器
coder16_4.vhd   16线/4线优先编码器


para7:
decoder_3_8.vhd  3线/8线译码器
decoder_4_16.vhd 4线/16线译码器
sn7448.vhd       BCD/七段译码器
mc14495.vhd      七段锁存译码驱动器


para8:
mux2to1.vhd   二选一电路
mux2_1.vhd    二选一电路
mux2_1.bdf    二选一电路
mux3to1.vhd   三选一电路
mux3to1_1.vhd 三选一电路
mux4to1.vhd   四选一电路


para9:
h_adder.vhd   半加器
or2a.vhd      全加器中的或门
f_adder.vhd   全加器
dp16.vhd      16位加法器
adder4bit.vhd 4位加法器
adder4bit.bdf 4位加法器
add4.bdf      4位流水线加法器
adder8bit.vhd 8位加法器
adder8bit.bdf 8位加法器
add8.bdf      8位流水线加法器
mul_8.vhd     8位乘法器
mul8.bdf      3级流水乘法器
mul16.vhd     16位移位乘法器
mydivider.vhd 除法器


para10:
reg4.vhd      4位寄存器
reg4_1.vhd    4位寄存器
reg8.vhd      8位寄存器
reg32bit.vhd  32位寄存器
reg32bit.bdf  32位寄存器
bsr.vhd       循环移位寄存器
dff4.vhd      D锁存器
shift8.vhd    串行输入、串行输出移位寄存器
shifter.vhd   并行输入、并行输出移位寄存器


para11:
cnt6.bdf         六进制约翰逊计数器
counters.vhd     不同功能的简单计数器
count60.vhd      60进制计数器
count60.bdf         60进制计数器
counter_1024.vhd 8位二进制计数器
counter_1m.vhd   16位二进制计数器
counter.vhd      N进制计数器


para12:
s_machine.vhd   一般有限状态机
state_m2.vhd    有限状态机
MOORE1.vhd      moore状态机
MEALY1.vhd      mealy状态机
AD574.vhd       状态机实现AD574数模转换
AD574_2.vhd     状态机实现AD574数模转换
AD0809.vhd      状态机实现AD0809数模转换
seq_check.vhd   状态机实现序列检测器


para13:
fifo.vhd    FIFO(双口RAM)
fifo1.vhd   FIFO(嵌入式EAB)
fifo2.vhd   FIFO(LPM)


para14:
rom256x8.vhd   ROM只读存储器
rom256x8_1.vhd ROM只读存储器
rom256x8_2.vhd ROM只读存储器
ram256x8.vhd   RAM随机存储器
ram16x8.vhd    RAM随机存储器
inst_1.mif     ROM初始化文件


para15:
DFF1.vhd       D锁存器
sn74373.vhd    8位三态锁存器
dff2.vhd       带异步置位、复位的D触发器


para16:
dds_dds_rom.vhd  DDS中的LPM_ROM
dds_dds.vhd      24位DDS单元
dds32_1.vhd      32位DDS单元
dds32_2.vhd      32位DDS单元


para17:
fredivn.vhd   偶数分频
fredivn1.vhd  奇数分频
frediv16.vhd  16分频
PULSE.vhd     数控分频器


para18:
pulse_sequence.vhd 并行脉冲控制器
light.vhd.vhd      交通脉冲控制器
division1.vhd      电压脉冲控制器中的分频
ad.vhd             电压脉冲控制器中的A/D控制
code.vhd           电压脉冲控制器中的脉冲运算模块
voltage2.bdf       电压脉冲控制系统


para19:
fpdpsk.vhd         FSK/PSK调制顶层文件
lut.vhd            正弦波模块
asin.mif           正弦波模块初始化文件
sel_ampl.vhd       振幅调整及波形选择模块
addr_a_f.vhd       频率显示值地址产生模块
updown2.vhd        频率步进键核心模块
key.bdf            弹跳消除电路


para20:
aclink2.vhd   ACLINK的数据输出
sreg1.vhd     并行输入,串行输出的模块
aclink3.vhd   ACLINK的时分复用
sreg3.vhd     串行输入,并行输出的模块
Speaker.vhd   数控分频器、音频输出
Tone.vhd      音阶发生器
Tone1.vhd     音阶发生器
TOP.vhd       硬件电子琴顶层文件
ad.vhd        语音通信电路中的A/D接口模块
decoder1.vhd  语音通信电路中的译码器模块
fc.vhd        语音通信电路中的滤波比较器模块
division.vhd  语音通信电路中的分频模块
mul3.vhd      语音通信电路中的多路选择模块
fbc.bdf       折叠二进制码解码器
play_buff.bdf 播放缓冲区
comm_buff.bdf 通信缓冲区
f_c.vhd       语音播放平台中的Filter控制逻辑
division.vhd  语音播放平台中的分频器


para21:
PDIUSB.vhd       USB与FPGA接口
usbctrl.vhd      USB控制模块
TestUSB.vhd      USB接口测试模块
USBcomm.vhd      USB数据传输
CLK.vhd          USB时钟模块
LED.vhd          LED显示模块


para22:
videocpt.vhd     高速图像采集模块
DCT.c            离散余弦变换
jpeg.c           图像压缩与编码

CPLDFPGA常用模块与综合系统设计实例精讲.rar

171.76 KB, 下载次数: 454 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2009-4-25 10:27:23 | 显示全部楼层
多谢了
发表于 2009-4-26 00:46:19 | 显示全部楼层
谢谢,下来看看
发表于 2009-4-26 16:09:29 | 显示全部楼层
正好需要,谢谢分享!!
发表于 2009-4-26 16:33:56 | 显示全部楼层

感谢

非常感谢!!
发表于 2009-4-27 15:06:52 | 显示全部楼层
多谢分享
发表于 2009-5-18 09:19:28 | 显示全部楼层
初学者的佳品!!!
发表于 2009-5-18 19:51:53 | 显示全部楼层
顶起
发表于 2009-5-18 19:54:27 | 显示全部楼层
:victory:
发表于 2009-5-18 19:56:07 | 显示全部楼层
:victory:
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 11:25 , Processed in 0.037637 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表