在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 52328|回复: 278

(分享)很不错的Xilinx FPGA 中高级学习资料!

[复制链接]
发表于 2009-4-15 14:54:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
共444页,2008年9月,比较新,《Xilinx_Workshop_FPGA_Digital_System_Design_Primer》
学习目标
.掌握FPGA基本结构以及Xilinx工具设计流程
.理解掌握FPGA 基本设计方法与技巧
.理解并使用8-bit微控制器PicoBlaze
.掌握FPGA基本配置方法
.掌握基本时钟系统结构,使用Architecture Wizard配置DCM
.掌握使用CORE Generator 在设计中填加IP Cores
.理解掌握全局时序约束和管脚约束,使用约束编辑器
.理解静态时序分析报告,查找设计瓶颈
.理解掌握不同的“综合”参数对性能改善的作用
.理解掌握不同的“实现”参数对性能的影响
.理解掌握FPGA设计时序收敛流程
.使用Chipscope-Pro进行片上验证

学习内容
•FPGA技术概述
•FPGA基本结构
•Xilinx工具流程
•Xilinx PicoBlaze微控制器
–实验1: Xilinx Tool Flow
•FPGA基本配置方法
•Architecture Wizard 和PACE
–实验2: Architecture Wizard and PACE
•全局时序约束
•查看设计报告
–实验3: Global Timing Constraints
•FPGA 设计方法与技巧
•同步设计技术
•设计综合
–实验4: Synthesis Techniques
•设计实现
•CORE Generator™系统
–实验5: CORE Generator System
•Chipscope-Pro
–实验6: Chipscope-Pro
•FPGA系统设计环境概述
•课程总结:时序收敛流程

学习基础
.hdl语言基础知识(VHDL或者verilog)
.数字系统设计基本知识和经验      
.8-bit微控制器基本结构和使用经验
.Matlab/Simulink基本知识和经验

[ 本帖最后由 xinqrs 于 2009-4-15 15:03 编辑 ]

Xilinx_Workshop_FPGA_Digital_System_Design_Primer(1).part1.rar

2.86 MB, 下载次数: 1680 , 下载积分: 资产 -2 信元, 下载支出 2 信元

part1 of 2 parts

Xilinx_Workshop_FPGA_Digital_System_Design_Primer(1).part2.rar

2.65 MB, 下载次数: 1507 , 下载积分: 资产 -2 信元, 下载支出 2 信元

part2 of 2 parts

发表于 2009-4-15 14:55:27 | 显示全部楼层
DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD
发表于 2009-4-15 15:17:21 | 显示全部楼层
好人好东西!!
发表于 2009-4-15 22:50:18 | 显示全部楼层
这资料需要多发啊
发表于 2009-4-16 00:09:15 | 显示全部楼层
Thank you~
发表于 2009-4-16 06:16:47 | 显示全部楼层
xiexie
发表于 2009-4-25 19:13:33 | 显示全部楼层

谢谢分享!

谢谢分享!
发表于 2009-4-25 21:13:12 | 显示全部楼层
很好,谢谢楼主
发表于 2009-5-4 11:06:19 | 显示全部楼层
very good!!thanks!~
发表于 2009-5-4 14:29:41 | 显示全部楼层
谢谢啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-17 01:45 , Processed in 0.031798 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表